• 파일시티 이벤트
  • 유니스터디 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

Elevator 설계

*성*
개인인증판매자스토어
최초 등록일
2009.05.27
최종 저작일
2005.11
17페이지/ 한컴오피스
가격 10,000원 할인쿠폰받기
다운로드
장바구니

소개글

과목 - 응용논리회로
Model sim 을 이용하여 VHDL 코드로 Elevator 설계
(Quartus로 synthesis 작업 수행)

목차

1. VHDL source coding
2. VHDL testbench coding
3. Signal wave form
4. Synthesis
5. Synthesis 회로도

본문내용

☑ VHDL source coding
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all ;

entity elevator is
port (
clk : in std_logic;
bt_updn : in std_logic_vector (7 downto 0);
bt_floor : in std_logic_vector(4 downto 0);
senser_floor : in std_logic_vector(4 downto 0);
seg_floor : out std_logic_vector(6 downto 0));
end elevator;

architecture behavior of elevator is
signal first_up : std_logic; -- 1층 올라가는 스위치
signal second_down : std_logic; -- 2층 내려가는 스위치
signal second_up : std_logic; -- 2층 올라가는 스위치
signal third_down : std_logic; -- 3층 내려가는 스위치
signal third_up : std_logic; -- 3층 올라가는 스위치
signal fourth_down : std_logic; -- 4층 내려가는 스위치
signal fourth_up : std_logic; -- 4층 올라가는 스위치
signal fifth_down : std_logic; -- 5층 내려가는 스위치
signal floor : std_logic_vector (4 downto 0); -- 엘레베이터 위치
signal sel_floor : std_logic_vector (4 downto 0); -- 위치 선택
signal state, pre_state : std_logic_vector (1 downto 0); -- 동작상태
signal seg : std_logic_vector (6 downto 0); -- 층표시
begin

process (clk)

참고 자료

없음
*성*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 자동화프로그래밍 GMWIN PLC엘레베이터 설계 30페이지
    설계개요1.엘레베이터는 2대(초기값 : EV1=2층, EV2=1층)이고, ... 호출한다.3.엘레베이터가 올라갈 때는 내려가지 않는다.4.탑승자가 탄 위치와 ... 눌렸을 경우, 다른 동작하고 있지 않은 엘리베이터가 대신한다.
  • 서강대학교 21년도 디지털회로설계 - 엘리베이터 설계 프로젝트 보고서(A+자료) 10페이지
    2021-1학기디지털 회로 설계기말프로젝트엘리베이터 설계과목명 디지털회로설계전공 ... 설계 목적실제 엘리베이터와 유사하게 동작하는 시스템을 설계한다. ... state가 너무 많아질 것 같아서, 일단 엘리베이터의 동작 상태 (m_elev_op에
  • 7주차 예비보고서- 디지털 시스템 설계 및 실험 4페이지
    엘리베이터- 7-Segment에 현재 층수를 표시한다.- 현재 층수보다 높은 ... 설계 및 실험이름 :학번 :실험제목Sequential Circuit 설계 ... 동기식 UP/DOWN 카운터를 설계한다.2.
  • 엘레베이터 설계 14페이지
    state diagram에서 보이듯이 각 층을 3개로 쪼개서 하였습니다. S1H, S2H, S3H, S4H, S5H는 해당 층에서 정지 또는 지나가는 상태, S1U, S2U, S3U, S4U는 해당 층에서 올라가는 상태, S2D, S3D, S4D, S5D는 각 층에서 ..
  • 엘리베이터 설계(디지털 공학) 6페이지
    엘리베이터 설계 Wonkwang University▣ 목 차 개요 상태도 ... (1) 상태도 , 동작설명 (2)▣ 개요 아래 그림과 같이 주차타워의 엘리베이터 ... 신호와 방향을 명령하는 N 신호를 출력으로 하는 제어를 위한 상태도를 설계하라
더보기
최근 본 자료더보기
유니스터디 이벤트
Elevator 설계 무료자료보기
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:21 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대