• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

[VHDL]스탑워치 설계

*의*
최초 등록일
2009.06.03
최종 저작일
2008.10
10페이지/ 한컴오피스
가격 1,500원 할인쿠폰받기
다운로드
장바구니

소개글

VHDL을 이용한 스탑워치 설계입니다.

목차

☉스탑워치 VHDL 설계☉
☉시뮬레이션 결과 값☉
☉스위치☉
☉디지털 시계 VHDL 설계☉
☉시뮬레이션 결과 값☉
☉스위치☉

본문내용

☉스탑워치 VHDL 설계☉

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

entity stop is

PORT(
CLK : in std_logic;
SW_A : in std_logic;
SW_B : in std_logic;
SW_C : in std_logic;
SW_D : in std_logic;
SEG_DATA : out std_logic_vector(7 downto 0);
SEG_COM : buffer std_logic_vector(7 downto 0)
);
end stop;

architecture arc of stop is

signal mode : std_logic_vector(2 downto 0);
signal SW_A_Q1, SW_A_Q2 : std_logic;
signal SW_B_Q1, SW_B_Q2 : std_logic;
signal SW_C_Q1, SW_C_Q2 : std_logic;
signal SW_D_Q1, SW_D_Q2 : std_logic;
signal msec : integer range 0 to 9999;
signal seg5,seg6 : std_logic_vector(7 downto 0);
signal seg7,seg8 : std_logic_vector(7 downto 0);
signal temp : integer range 0 to 9999;
signal temp1 : integer range 0 to 9999;
signal cnt : integer range 0 to 999;
function seven (display: integer range 0 to 10)
return std_logic_vector is
variable seg_data: std_logic_vector (7 downto 0);
begin

참고 자료

없음

자료후기(3)

*의*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 논리회로설계실험 스탑와치(stopwatch) 레포트 13페이지
    ) 설계 목표VHDL을 이용하여 스탑워치를 만든다. ... 스탑워치를 Xilinx(Spartan 3)을 통해 구현해본다.(2) 설계 ... FSM의 도형적 표현으로는 상태표/상태도가 있다.2) 클록 분주설정스탑워치에서
  • [논리회로설계실험]VHDL을 활용한 CLOCK설계 12페이지
    스탑워치, 카운터, 날짜표시등 우리의 일상에서 흔히 볼 수 있는 것들이 어떻게 ... 설명 및 이해이번 실습에서는 자일링스의 사용법을 바탕으로 clock을 설계해보았다 ... VHDL에서 코딩과정은 시간의 각 자리숫자를 하나씩 연산하여 나타내게 되는데
  • VHDL - Digital Watch 소스. 정상 동작 확인. A+ 55페이지
    디지털 설계 , 콤보2 장비를 사용했습니다. vhdl 프로그램 소스입니다.VHDL ... 메인시계, 스탑워치, 알람설정, 시간설정, Dot Matrix, vfd 등 ... 포함되어 있습니다.모드 변환이 가능하며 스탑워치 start / stop,
  • vhdl을 이용한 디지털시계+스탑워치 설계 13페이지
    되고 있는 시간부터 FND 동작그 외 - 리셋기능 분리(시계+날짜, 스탑워치 ... Run, 시계 Set, 날짜 Run/Set, 알람 Run/Set 모드, 스탑워치 ... Run모드 - 시계와 알람의 시간이 일치하면 LEDG[8] 1분동안 깜빡임스탑워치
  • 논리회로설계실험 스톱워치 설계과제2 결과보고서 9페이지
    그러나 설계에 사용한 스탑워치는 실제와는 달리 Reset 버튼이 시스템의 ... 실제 스탑워치는 동작 상태에서 reset 버튼을 누르면 000000초가 됨과 ... State machine은 밀리 머신과 무어 머신으로 구분된다.분주기 설정스탑워치에서
더보기
최근 본 자료더보기
탑툰 이벤트
[VHDL]스탑워치 설계
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:00 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대