• 유니스터디 이벤트
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

시그널 스테이션을 기반으로한 차단기 구성하기

*대*
개인인증판매자스토어
최초 등록일
2009.07.01
최종 저작일
2009.06
11페이지/ 한컴오피스
가격 1,000원 할인쿠폰받기
다운로드
장바구니

소개글

학기말에 실시한 텀프로젝트의 결과물입니다. 문서는 논문 형식으로 작성되었으며, 프로그램은 ccp의 내용 전문이 수록되어 있으나 소스나 헤드의 변수지정은 따로 하시던지 본 프로그램에 맞추어 하셔야 합니다. 실행시 모두 작동 하였습니다.

목차

Ⅰ. 서론
Ⅱ. 본론
Ⅲ. 결론

본문내용

Ⅰ. 서론
흔히 주변에서 볼 수 있는 차단기의 동작에서 착안하여 기계공학기초실험에서 배운 시스널 스테이션을 이용하여 차단기 제어하는 회로와 프로그램을 구성하고 특성을 분석하는 것이 이번 실험의 목적이다. 여기서 쓰이는 동작을 분류해보면, A/D, D/I, D/O, D/A로 나눌수 있다. 이와 같은 동작들을 확인해 보면서 이러한 동작들을 서로 호환하여 유용한 즉 가치있는 기계를 만들어 보기로 하겠다. 또한 프로그램밍한 내용의 특성도 같이 논해 볼 것인데 이번 실험의 목적을 요약해 보자면

① 초기에 입력한 원하는 특정한 조건을 만족하여야 동작하는 차단기를 만드는 것이 목적.
② 기계가 작동하는 동안 시그널 스테이션으로 입출력 받는 상태를 직접 눈으로 확인할 수 있다.

또한 이러한 회로의 응용하여 실생활에 어떻게 쓰일 수 있을지 논의해 보기로 하겠다.

Ⅱ. 본론
우선 전체의 개념도는 아래와 같다.

또한 제작에 필요한 주요 부품들은 다음과 같다.

// ExampleDlg.cpp : 구현 파일
//

#include "stdafx.h"
#include "Example.h"
#include "ExampleDlg.h"

#ifdef _DEBUG
#define new DEBUG_NEW
#endif

int b=0,z=0, count=0, AD_sum=0, cout=0, a, h, c;

// 응용 프로그램 정보에 사용되는 CAboutDlg 대화 상자입니다.

class CAboutDlg : public CDialog
{
public:
CAboutDlg();

// 대화 상자 데이터입니다.
enum { IDD = IDD_ABOUTBOX };

protected:
virtual void DoDataExchange(CDataExchange* pDX); // DDX/DDV 지원입니다.

참고 자료

없음
*대*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

최근 본 자료더보기
유니스터디 이벤트
시그널 스테이션을 기반으로한 차단기 구성하기
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:11 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대