• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

7-세그먼트 LED 디코더 표시회로

*용*
개인인증판매자스토어
최초 등록일
2009.07.01
최종 저작일
2008.12
6페이지/ 한컴오피스
가격 1,000원 할인쿠폰받기
다운로드
장바구니

소개글

7 세그먼트 LED 를 이용한 표시소자 및 디코더를 이해하고 실험으로 이론을 입증한다.

목차

1. 디코더 원리
2. 7 - 세그먼트 LED 표시소자
3. 7 - 세그먼트 LED 디코더
4. 7 - 세그먼트의 표시상태
5. 7447의 종속 접속

본문내용

1) 디코더 원리
그림 14-1은 0,1,2,3,4,5,6,7,8,9의 키를 누를 때 그에 상응하는 숫자를 표시하는 논리회로의 r성도를 나타낸다. 숫자 키를 누르면 인코더에서 해당 코드가 발생하는데, 이 코드는 다시 표시소자 디코더를 거쳐 숫자 표시기를 구동한다.
2) 7-세그먼트 LED 표시소자
그림 14-2(a)는 7-세그먼터 LED 표시소자를 나타낸 것으로, a~g를 세그먼트라 한다. 7-세그먼트 LED 표시소자에는 그림 14-2(b), (c)와 같이 애노드 공통형(CA)과 캐소드 공통형(CC)이 있다. CA와 CC는 각 다이오드의 캐소드 또는 애노드가 모두 공통으로 접속되어 있고, 반대극성은 독립되어 있는 구조로 미연결상태로 되어 있다. 그림 14-2(b)의 애노드 공통형(CA)에서 공통단자에 +5[V]가 연결되는 경우에는 그림 14-3(a)와 같이 스위치가 닫힌, 즉 L레벨(0)이 가해진 세그먼트가 점등된다. 그림 14-(c)의 캐소드 공통형(CC)의 경우에는 그림 14-3(b)와 같이 H레벨(1)에 연결된 세그먼트가 점등된다.

3) 7세그먼트 LED 디코더
그림 14-4에서 입력단에 BCD 코드값 DCBA=0111 일 때 출력 a~g 가운데 a,b,c가 0이 되도록 동작하는 논리회로가 7-세그먼트 LED 디코더이다.

4) 7-세그먼트의 표시상태
애노드 공통형 BCD-7 세그먼트 LED 디코더 IC로서 7447이 있다.

참고 자료

없음
*용*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 7세그먼트 디코더 실험보고서 8페이지
    [세그먼트 디코더회로도]3. ... -7세그먼트 디코더BCD-to-7세그먼트 디코더는 그림 5.3에 나타낸 것과 ... 실험보고서7-세그먼트 디코더1.
  • 전기및디지털회로실험 실험8 결과보고서 8페이지
    입력시 7-세그먼트-실험 1번 문항 결과분석예상한 신호와 LED의 점등값이 ... 기존 7-세그먼트는 입력이 7개로, 전용 디코더의 출력을 인가받아야 사용할 ... 실험 개요숫자표시기는 보통 7-세그먼트 표시기라 불린다.
  • 인코더와 디코더 회로 예비 보고서(고찰포함)A+ 5페이지
    발광하는 LED가 7개의 세그먼트에 사용되는 디스플레이로 숫자를 표시 할 ... -7 세그먼트 디코더는 2진수를 10진수를 변환해 주기 떄문에 회로를 설계하는 ... 라고 생각하였다. 7 -세그먼트 표시기는 개념이 부족하여 예비보고서를 작성하면서
  • 건국대학교 전기전자기초실험1(전전기실1) 13주차 예비레포트+결과레포트(예레+결레) 24페이지
    .7-세그먼트LED 이용해서 숫자를 표시하는 장치로 7개의 LED를 조합해 ... 수 있는 7-세그먼트 회로를 구성 가능하다.위 그림은 7-세그먼트 구동회로를 ... 세그먼트(7-segment) 실험II의 회로7-세그먼트를 추가하여 다음
  • 광운대학교 전기공학실험 실험8. 숫자표시기와 응용 결과레포트 [참고용] 9페이지
    실험 개요7-세그먼트 표시기(7-segment display)라 불리는 숫자표시기의 ... . 7-세그먼트 디코더/구동기(7-segment decoder/driver ... 이론 조사3-1. 7-세그먼트 표시기(7-segment display):
더보기
최근 본 자료더보기
탑툰 이벤트
7-세그먼트 LED 디코더 표시회로
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:59 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기