• LF몰 이벤트
  • 파일시티 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL를 이용한 LCD 설계

*민*
개인인증판매자스토어
최초 등록일
2010.05.27
최종 저작일
2008.06
16페이지/ 한컴오피스
가격 1,000원 할인쿠폰받기
다운로드
장바구니

소개글

.

목차

Introduction
Problem Statement
Implementation
Result
Conclusion & Evaluation
Source Code (with suitable comments)

본문내용

Introduction
LCD을 동작하기 위해 ISE를 통해 구조를 파악하여 설계한다.
- KIT동작을 위해 최소한 6개 이상의 process문을 이용하여 설계한다.
- FPGA_CLK, FPGA_RSTB의 변화에 따른 동작 제어의 변화를 고려하여 설계한다.
- 평소보다 많은 내부 signal을 사용해야 되므로 정확한 명칭으로 헷갈리지 않게 한다.
- KIT의 LCD에 조원의 학번과 이니셜이 표시되게 하다.
- 각 알파벳 및 숫자를 표시하기 위해 어떤 값을 넣어 줘야 하는 지 파악한다.
ISE을 통해 KIT 설정하기
- KIT의 각 pin을 설정해주고, KIT 동작에 필요한 몇 가지 사항을 설정 하여 준다.
- 시행 과정 속에 문제가 생긴다면 이를 수정하여 KIT가 제대로 동작 할 수 있게 해준다.
키트를 이용하여 실제 값이 제대로 나오는지 확인한다.
- 만약 키트에 문제가 생긴다면, 이를 수정하여 올바른 값을 확인한다.
Problem Statement
- Describe what is the problem.
LCD 모듈을 이용하여 조원의 학번과 이름 출력하기
- 조원의 학번에서 년도 부분을 제외한 6자리 위와 같이 라인 1에 출력

- 각 state에 따른 출력 부분을 채워서 완성할 것
- 초기화는 다음의 설정을 따를 것
-Function set : 2-line mode, display off
-Display OFF : display off, cursor off, blink off
-Entry mode set : Increment mode, Entire shift off
-Display ON : display on, cursor off, blink off
- Describe how do you solve the problem.
LCD Module의 내부 Register
- Instruction Register(IR) : D.D.RAM과 C.G.RAM에 대한 Address 정보와, Clear, 커서 이동에 대한 명령코드를 가지고 있음. 쓰기만 가능
- `Data Register(DR) : D.D.RAM, C.G.RAM에 데이터를 쓰거나 읽을 때 사용. 데이터를 읽을 경우 DR에 읽은 데이터 저장. IR에 주소를 쓰면 주소에 대한 LCD의 표시 데이터가 C.G.RAM, D.D.RAM으로 부터 DR로 전송

참고 자료

없음

자료후기(1)

*민*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • [논리회로설계실험]VHDL을 활용한 LCD설계 7페이지
    조건문에서 86개의 state가 돌아가도록 설계 한후, 비트수를 한자리 줄여 ... / -VHDLLCD를 구동하기 Hyperlink "http://linkjapan.co.kr ... /Wordpress/hd44780-lcd-display-interfacing-with-altera-fpga-vhdl
  • [논리회로설계실험]VHDL을 활용한 Calculator 설계 17페이지
    Source & Results1)VHDL Source1-1)Lcd_display1 ... state값도 지정하여 준다.4)References및 확장방향Calculator 설계를 ... 이전 실습에서 배웠던, LCD의 표시기능을 그대로 이용하고, 각 버튼마다
  • 서울시립대학교 전자전기컴퓨터설계실험2 제10주 Lab09 Post 9페이지
    Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508 ... Post-lab Report전자전기컴퓨터설계실험Ⅱ10주차. ... Control, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL
  • 서울시립대학교 전자전기컴퓨터설계실험2 제10주 Lab09 Pre 8페이지
    Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508 ... Pre-lab Report전자전기컴퓨터설계실험Ⅱ10주차. ... Control, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL
  • 전자전기컴퓨터설계실험2(전전설2) (10) Final Project 110페이지
    수정진동자를 이용한 발진 회로를 통해 만들어진 매우 높은 주파수 (예시로 ... 베릴로그나 VHDL, 배치와 배선(PAR)을 합성하고, 생성된 펌웨어 파일은 ... , LCD_RS, LCD_RW, LCD_DATA, BTN_SW_, PIEZO
더보기
최근 본 자료더보기
유니스터디 이벤트
VHDL를 이용한 LCD 설계 무료자료보기
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:27 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대