• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL Digital Alarm Clock 디지털 알람 시계

*종*
개인인증판매자스토어
최초 등록일
2011.06.14
최종 저작일
2011.06
17페이지/ 한컴오피스
가격 5,000원 할인쿠폰받기
다운로드
장바구니

소개글

문서 파일내에 간단한 테스트벤치 및 핀 설정, 출력 포트 표기 모두 되어있음

50Mhz 클럭 입력을 받아서 10ms 클럭으로 스케일링 하고 이를 이용한 시계

코드 내부에 주석처리 된 부분을 해제하고 입출력을 조금 손보면 Stop watch

입출력 부분 사진으로 표기

목차

없음

본문내용

digclock.vhd
Library IEEE;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity digclock is
port( CLK :in std_logic;
RST :in std_logic;
SET :in std_logic_vector(1 downto 0);
TIME_SET:in std_logic;
UP :in std_logic;
LED :out std_logic;
INPUT:in std_logic_vector(3 downto 0);
SEG_10h :out std_logic_vector(6 downto 0);
SEG_1h :out std_logic_vector(6 downto 0);
SEG_10min :out std_logic_vector(6 downto 0);
SEG_1min :out std_logic_vector(6 downto 0);
SEG_10s :out std_logic_vector(6 downto 0);
ALAM:in std_logic;
ALAM_LED:out std_logic;
ALAM_SET:in std_logic;
SEG_1s :out std_logic_vector(6 downto 0));
end digclock;
-----------------------------------------------------------
architecture STRUCT of digclock is
component prescaler
port( CLK :in std_logic;
RST :in std_logic;
CLK_OUT :out std_logic);
end component;
-------------------------------------------------------------------------------
component counter
port( CLK : in std_logic;
RST : in std_

참고 자료

없음

이 자료와 함께 구매한 자료

*종*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • VHDL-Final Project Digital Clock 만들기 27페이지
    기본 Digital Clock 의 기능(2) Optional Design ... 코드를 이용한 Digital Clock code를 작성하고, Spartan ... 하지만, 이러한 LCD interface 코드를 가지고, 시시각각 변하는 디지털
  • 디지털 공학 설계프로젝트 팀별 최종 발표 PPT 9페이지
    시계 기능 분석기능별 VHDL 구현VHDL 통합 컴파일 / 디버깅FPGA보드 ... *디지털 공학 설계프로젝트 팀별(최종발표)*입력, 출력 포트■ 입력포트 Clock ... 시계에서 시간을 생성하는 블록 DATE : 디지털 시계의 날짜를 생성하는
  • 쿼터스 VHDL을 이용한 디지털 시계 설계 결과 37페이지
    디지털시계 동작원리 이해P r o j e c t 목 표알람 및 스탑워치설정이 ... 2008. 12. 12(금)Design of Digital Clock유 진 ... 시계 구현Quartus를 이용한 VHDL의 이해카운터와 Decoder를 이용한
최근 본 자료더보기
탑툰 이벤트
VHDL Digital Alarm Clock 디지털 알람 시계 무료자료보기
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 20일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:31 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기