• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL을 이용한 7세그먼트 실험보고서입니다.

*승*
개인인증판매자스토어
최초 등록일
2011.06.22
최종 저작일
2011.04
12페이지/ 한컴오피스
가격 2,000원 할인쿠폰받기
다운로드
장바구니

소개글

소스코드, 시뮬레이션결과, H/W 확인, .UCF파일 생성, 동작확인 사진이
있는 실험보고서입니다.

목차

1. 7세그먼트 (숫자표시)
2. 7세그먼트 (알파벳표시)

본문내용

TMP_D <= A & B & C & D;
com(7) <= `0`;
com(6 downto 0) <= "1111111";

process(EN, TMP_D)

begin
if EN = `0` then
TMP <= "0000000";
elsif EN = `1` then
case TMP_D is
when "0000" => TMP <= "1111110";
when "0001" => TMP <= "0110000";
when "0010" => TMP <= "1101101";
when "0011" => TMP <= "1111001";
when "0100" => TMP <= "0110011";
when "0101" => TMP <= "1011011";

when "0110" => TMP <= "1011111";
when "0111" => TMP <= "1110000";
when "1000" => TMP <= "1111111";
when "1001" => TMP <= "1111011";
when others => TMP <= "ZZZZZZZ";
end case;
end if;
end process;

Sa <= TMP(6);
Sb <= TMP(5);
Sc <= TMP(4);
Sd <= TMP(3);
Se <= TMP(2);
Sg <= TMP(1);

end Behavioral;

참고 자료

없음

이 자료와 함께 구매한 자료

*승*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • BCD 가산기 설계 결과보고 3페이지
    디지털시스템 설계 실습 7주차 결과보고서학과전자공학과학년3학번성명※BCD ... 그림[3-46]의 블록도와 같이 두 BCD의 입력을 받아 7-세그먼트 FND에 ... 시뮬레이션 입력을 [표3-31]과 같이 주어졌을 때 7-세그먼트 디코드된
  • 논리회로실험) 7 segment 프로젝트 1 예비 6페이지
    논리회로설계 실험 예비보고서 #4실험 4. 디코더 & 엔코더1. ... 이는 A형, K형이라고도 불린다.- 7 세그먼트의 7개의 영역을 이용해서 ... segment- 7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를
  • 디시설 - 4비트 가산감산기 , BCD 가산기 10페이지
    결과 보고서( 4비트 가산/감산기 , BCD 가산기 )제목4비트 가산/감산기 ... 입력으로는 0~9의 값 2개를 받고 합을 구해서 BCD로 변환시켜 7-세그먼트 ... 결과 및 고찰이번 실험은 BCD 가산기를 설계하고 원리를 알아보는 실험
  • 논리회로설계실험 - 디코더/엔코더 예비레포트 9페이지
    논리회로설계 실험 예비보고서 #4실험 4. 디코더& 엔코더 설계1. ... 디지털 시계는 7 세그먼트 디스플레이에 세그먼트들의 조합을 이용하여 시간을 ... 따라서 BCD의 각 비트를 입력해주면 디코더를 이용하여 7 segment의
  • 논리회로설계 실험 디코더 인코더 6페이지
    논리회로설계 실험 예비보고서 #4실험 4. 디코더 & 인코더1. ... 실험시간에는 이번에 작성하였던 반가산기를 이용해 전가산기를 작동하게 할 텐데 ... 십진법을 사용할 경우 각 자리 별로 하나씩 간단한 변환 회로를 만들어서 7세그먼트
더보기
최근 본 자료더보기
탑툰 이벤트
VHDL을 이용한 7세그먼트 실험보고서입니다.
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:57 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기