• 유니스터디 이벤트
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL을 이용한 엘리베이터 설계

*재*
개인인증판매자스토어
최초 등록일
2011.07.05
최종 저작일
2011.06
6페이지/ 한컴오피스
가격 4,000원 할인쿠폰받기
다운로드
장바구니

소개글

VHDL을 이용한 엘리베이터 설계

목차

1. 개요
2. 설계
3. 구현
4. 시뮬레이션

본문내용

1. 개요
- 5개의 층을 가지는 건물의 엘리베이터를 최대한 실제와 비슷하게 설계한다.
- 엘리베이터는 모든 층에서 출발, 정지가 가능해야 하고, 문을 열고 닫을 수 있어야 한다.

2. 설계
- 엘리베이터 층수: 5층 (001, 010, 011, 100, 101)
- 엘리베이터 내부 버튼: 1~5
- 모든 층에서 출발, 정지할 수 있고, 모든 다른 층으로 갈 수 있고, 문을 여닫을 수 있다.
- 한 번에 단 하나의 요청을 수행한다. (엘리베이터 작동 중에 다른 입력은 무시한다.)
- 엘리베이터는 최종 위치에서 입력이 들어올 때까지 대기한다.
- 동일한 입력이 들어올 경우 문이 열리고 닫힌다. (엘리베이터의 최종 위치와 요청 입력의 층수가 같을 경우)
- 엘리베이터의 이동과 문의 여닫힘은 클럭의 상승 엣지에서 발생한다.
- 문이 열리고 나서, 일정 시간이 흐른 뒤에 문이 닫힌다.

<코드 설계>
① Library - 신호의 type 정의와 논리 연산을 수행하기 위해 라이브러리를 추가한다.

② Entity - 필요한 Input과 Output port를 정의한다. 시스템은 클럭 신호에 따라서 작동하기 때문에, 클럭 신호와 리셋 신호를 입력으로 선언하였고, 입력 층수를 입력신호, 엘리베이터의 현재 층수를 출력 신호로 선언하였다. 입력 층수는 외부버튼과 엘리베이터 내부의 버튼을 ‘in_floor` 신호 하나로 통합하여 설계하였다.
클럭과 리셋 신호는 ‘0’과 ‘1’을 가지는 std_logic 타입이고, 설계 층수가 5층이기 때문에 층수를 나타내는 신호는 3비트를 가지는 std_logic_vector 타입이다. 포트 구성을 아래의 표와 같이 정리하였다.

③ Architecture - 실제 동작 알고리즘을 표현하며 입력 신호에 의해 수행되는 1개의 process로 구성하였다. Architecture가 begin되기 전에 사용할 신호를 선언할 수 있는데, 엘리베이터의 상태(stand, stop_open, go_up, go_down)를 정의하고, 각 상태를 표현하는 신호를 선언하였다. 정리하면 아래의 표와 같다.

참고 자료

없음

자료후기(1)

*재*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 서강대학교 21년도 디지털회로설계 - 엘리베이터 설계 프로젝트 보고서(A+자료) 10페이지
    2021-1학기디지털 회로 설계기말프로젝트엘리베이터 설계과목명 디지털회로설계전공 ... 설계 목적실제 엘리베이터와 유사하게 동작하는 시스템을 설계한다. ... State Diagram을 통해 구상하고 VHDL로 구현한 후, Testbench를
  • 논리회로설계실험 - 디코더/엔코더 예비레포트 9페이지
    목표디코더와 엔코더의 원리를 이해하고 3x8 디코더와 8x3 엔코더를 VHDL에서 ... 엔코더는 음성 신호 처리, 아날로그 신호의 디지털화에 적용되며, 엘리베이터의 ... 논리회로설계 실험 예비보고서 #4실험 4. 디코더& 엔코더 설계1.
  • VHDL을 이용한 Elevator system 설계 26페이지
    설계목표8층 엘리베이터의 내부 제어를 위한 VHDL구문 설계ALTERA DE2 ... 응용논리회로설계Term Project[Elevator system]Elevator ... 스위치 상단의 8개의 Green LED를 이용해서 엘리베이터의 문이 열리고
  • VHDL을 이용한 엘리베이터(승강기) 설계 프로젝트 19페이지
    승강기가 동작하기 위한 최소의 환경을 만들어 놓고 그 프로젝트를 이용해 각각이 ... [VLSI 설계 Team Projet Final Report]과 제 명엘리베이터 ... 서론수업시간에 배운 VHDL언어를 사용하여 우리 주변에 쓰이는 단일 엘리베어터를
  • VHDL 8층 엘리베이터 구현 코드 및 보고서, 발표자료 0페이지
    Elevator Controller를 VHDL 코드로 작성, 그 동작을 검증 ... 개발에 이용 된 Tool 들은 다음과 같다Modelsim 6.1b(VHDL ... 설계 요구 사양은 Kit의 입, 출력 장치의 한계에 따른 짝수층 Elevator
더보기
최근 본 자료더보기
유니스터디 이벤트
VHDL을 이용한 엘리베이터 설계
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:18 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대