• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

Digital System Design VHDL(디지털 시스템 디자인 VHDL)

*성*
개인인증판매자스토어
최초 등록일
2011.11.08
최종 저작일
2011.11
53페이지/ MS 파워포인트
가격 3,500원 할인쿠폰받기
다운로드
장바구니

소개글

디지털 시스템 디자인 VHDL에 대한 자료입니다.
도움 많이 됩니다.

목차

1. VHDL
1) 설계환경의 변화
2) VHDL 설계 Flow
3) VHDL의 등장배경
4) VHDL의 표현방법

2. VHDL 개요
1) VHDL의 구성
2) Entity선언
3) Architecture body 선언
4) Signal mode
5) Signal Type
6) 객체(Object)
7) 연산자(Operator)
8) 병렬구문(Concurrent Statement) & 순차구문(Sequential Statement)
9) 병렬구문(Concurrent Statement)
10) 순차구문(Sequential Statement)

3. 디지털 시스템
1) 전가산기(Full Adder) 설계 : Behavioral Modeling
2) 조합회로 : 4 Bit 리플 캐리 가산기(4 Bit Ripple Carry Adder)
3) 조합회로 : 8 Bit 가산기(8 Bit Full Adder)
4) 조합회로 : 1Bit 비교기(1 Bit Comparator)
5) 조합회로 : 4Bit 비교기(4 Bit Comparator)
6) 조합회로 : 3X8 Decoder
7) 조합회로 : Encoder
8) 순차회로 : D FF
9) 순차회로 : 8Bit Register
10) 계수기(Counter)
11) 레지스터를 이용한 비동기 리셋 카운터 설계
12) Up-Down Counter Logic 설계
13) Counter with Enable Logic 설계
14) 비동기 계수기(Asynchronous Counter)
15) 동기 계수기(synchronous Counter)
16) ROM(Read Only Memory) 설계
17) RAM(Random Access Memory) 설계
18) FSM(Finite State Machine) 설계
19) 교통신호제어기 설계
20) Stop Watch 설계

본문내용

디지털 시스템
ROM(Read Only Memory) 설계
상수형태로 저장된 데이터를 주소값을 변화시켜 단지 읽을 수만 있는 메모리
ROM Size = 주소개수 * 데이터 비트수
CE : ROM의 칩 선택 여부를 나타내고 active-low동작
OE : 읽은 데이터를 외부로 출력하는 여부를 지정하고 active-low동작.
ROM VHDL표현 : 2차원 array type 선언.
8 * 5 의 2차원 array VHDL표현
Subtype ROM_Word is std_logic_vector(4 downto 0);
: 한 개의 주소에 저장되는 데이터의 크기
Type ROM_Table is array(0 to 7) of ROM_Word;
: 8개의 ROM_word로 구성되는 array 구조
ROM에 기억되는 데이터는 상수형태 : 미리선언.
Constant ROM_DATA : ROM_Table :=
ROM_Table’( “10101”, “10111”, “00101”, “10111”,
“00001”, “10001”, “01001”, “10111”);
ROM의 주소는 Std_logic_vector의 데이터 타입을 사용하지만
2차원 array선언은 integer로 선언되어야 하므로 형변환이 필요.
: conv_integer(Address)함수 사용.

참고 자료

없음

자료후기(1)

*성*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • Design Flow of a Digital IC 요약 3페이지
    levels of digital IC design- 디지털 IC는 시스템-알고리즘-레지스터 ... 단계)- 논리 합성으로 검증된 VHDL 코드를 가지고 Synopsis Design ... IC design / 디지털 집적회로 설계의 추상화 레벨* Design flow
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(예비) / 2021년도(대면) / A+ 12페이지
    또한 System Level의 검증이 가능하다.), 디자인 재사용이 가능하다 ... VHDL- 장점: ① VHDL은 매우 넓은 범위의 Design을 가능하게 ... EDA업계의 지지를 받고 있으므로 VHDL을 사용한 Design은 많은
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 예비레포트 3주차 Lab03 Introduction to Verilog HDL 17페이지
    실험 장치Laptop - ISE Digital Design Tool (Version ... VHDL은 현장 설계 가능 게이트 어레이 및 집적 회로와 같은 디지털 및 ... 혼합 신호 시스템을 설명하기 복잡한 시스템을 프로그래밍 할 때 적절하다.
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(결과) / 2021년도(대면) / A+ 19페이지
    Digital Design with an Introducton to the ... Systems가 Open Veriog Innternational(OVI) ... 파형을 생성할 때에는 for 구문을 사용하여 원활하게 시뮬레이션 되도록 디자인하였다
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(결과) / 2021년도(대면) / A+ 9페이지
    Digital Design with an Introducton to the ... 실험의 목적Verilog HDL 언어를 이용하여 디지털 회로를 디자인 하기에 ... GATE ARRAY 방식과 PLD 방식의 혼합형이며, 사용자가 SYSTEM
더보기
최근 본 자료더보기
탑툰 이벤트
Digital System Design VHDL(디지털 시스템 디자인 VHDL)
AI 챗봇
2024년 08월 29일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:09 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대