• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL - 4bit 가산기를 이용한 BCD adder, 4bit 가산기를 이용하지않은 BCD adder

*현*
최초 등록일
2011.11.24
최종 저작일
2010.08
3페이지/ 한컴오피스
가격 3,500원 할인쿠폰받기
다운로드
장바구니

소개글

VHDL - 4bit 가산기를 이용한 BCD adder, 4bit 가산기를 이용하지않은 BCD adder

시뮬레이션결과, 소스 첨부 했습니다.

목차

없음

본문내용

<출력 화면>
소스 분석
우선 4bit가산기를 이용하여 두 개의 입력값(a,b)을 더하게 됩니다. process문을 이용하여더한값이 9를 넘어가게 되면 즉, “0X” or “0X” or “X”의 값이 되게 된다면, BCD 값의 다음자리 4bit의 값을 “000”로 만들게 됩니다. 그리고, 첫 번째 자리의 BCD값은 4bit 가산기를 이용하여 6을 더한 값을 넣게 됩니다. 9가 넘는숫자라면 6을 더하게 되면 4bit가산기에서는 최대 표현가능 숫자가 5까지 이기 때문에 carry가 발생하게 됩니다. 발생하는 carry는 버리고, 남은자리만 표현하게 구현하였습니다.

소스 분석
4bit가산기를 이용하지 않고 BCD adder를 설계할 때 결과적으로 더하기를 위한 부분의 소스가 필요합니다. 그래서 실질적으로 소스를 복잡하게 만드는 것보다는 ieee. std_logic_arith.all 파일과 unsigned를 이용하여 덧셈연산을 하도록 구현하도록 목적을 세웠습니다. 그래서 우선 입력값 a,b를 더한 값을 signal temp에 저장한 후 process문을 이용하여 if문에서 9보다 클 경우 BCD 다음 저장변수에는 을 입력하고, BCD 첫 번째 저장변수에는 6을 더하여 입력하도록 구현하였습니다. 이렇게 되면 6을 더한 첫 번째 BCD 변수 공간은 overflow가 생겨서 위에 4bit adder로 구현한 부분과 같은 결과를 얻을수 있게 됩니다.

참고 자료

없음

이 자료와 함께 구매한 자료

*현*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 디시설 - 4비트 가산감산기 , BCD 가산 10페이지
    결과 보고서( 4비트 가산/감산기 , BCD 가산기 )제목4비트 가산/감산기 ... , BCD 가산기실습 목적BCD는 디지털에서 사용하는 2진 코드를 이용해 ... 가산VHDL코드- 코드 주요 내용 및 동작 부분 해석package 선언
  • BCD 코드를 이용한 10진 가산 33페이지
    , z → 5bit (비트첨가)BCD Adder (10진 덧셈기)Digital ... 필요 ① BCD코드에 사용하지 않는 경우가 발생될 때 ② 자리 올림의 수가 ... BCD 코드를 이용한 10진 가산가산기 (반가산기)Digital design
  • [디지털 논리회로 실험] 8장. 보수와 병렬 가, 감산기 결과레포트 3페이지
    제 8장http://www.engineerclub.in/2014/04/vhdl-code-for-bcd-adder.html ... BCD 가산기다음과 같이 BCD 가산기 회로를 결선하라. ... , SN74LS83실험 8.3 2의 보수를 이용한 4비트 2진 가?
  • [VHDL] 가산 18페이지
    감안하지 않는 가산이다. ... 4비트 Look-ahead Carry 가산기 회로를 나타내었다. ... 칩이 있다.가산VHDL Program(1) Ripple Carry Adder
최근 본 자료더보기
탑툰 이벤트
VHDL - 4bit 가산기를 이용한 BCD adder, 4bit 가산기를 이용하지않은 BCD adder
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:21 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대