• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

[논리회로] BCD 7 - 세그먼트 디코더

*진*
최초 등록일
2002.11.07
최종 저작일
2002.11
2페이지/ 한컴오피스
가격 5,000원 할인쿠폰받기
다운로드
장바구니

소개글

세그먼트의 기초

목차

-목 적
-기 본 이 론

본문내용

-목 적
7-세그먼트의 구조와 동작특성을 익히고, 7-세그먼트 디코더를 PLD를 이용한 회로 구현과 시뮬레이션을 통한 회로의 검증을 수행한다.
-기 본 이 론
우리는 일반 셍활에서 세그먼트를 접하게 된다. 일 예로 택시의 요금의 요금계산기, 또 더욱 가까이에는 전자 디지털 시계 등이 있다. 요금 계산기는 LED라는 발광소자를 이용한 세그먼트이고, 디지털 손목시계는 LCD라는 액정을 이용한 세그먼트이다. 사용소자는 다르지만 모두가 우리가 사용하는 10진수를 나타내고 있는 장치이다. 또 소수점을 나타내기 위해서 8-세그먼트를 이용할 때도 있다. LED를 이용한 세그먼트인 경우 7-세그먼트는 한 숫자를 나타내기 위해서 최고로 많이 가동하는 LED의 개수가 7개라는 것이다. 그림 16.1은 7-세그먼트인 0부터 9까지의 숫자를 a에서 g까지 7개의 LED를 가지고 나타낸다. 우리가 할 일은 주어진 상황에 따라서 어떻게 적절하게 이 7개의 LED를 켜지고 꺼지게 하는 것이다. 그림 16-1에서 LED로 숫자를 나타내었고 표 16.1에는 그 때의 진리표를 나타내었다. 진리표에서 9이상이 Don`t care가 되는 이유는 10진수에서 1개의 7-세그먼트가 나타낼 수 있는 수는 0부터 9까지의 수만을 나타낼 수가 있기 때문이다.

참고 자료

없음

자료후기(1)

*진*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 7-세그먼트 표시기와 디코더 결과보고서A+ 9페이지
    결과 분석이번 실험은 7-세그먼트 디코더를 이해하여서 이를 회로로 구현하는 ... BCD-to-7 세그먼트 디코더는 4개의 입력을 받아서 출력이 0000~1001까지 ... -7 세그먼트 디코더를 이해하고 있는지, BCD자체를 이해하고 있는지를 확인할
  • 7세그먼트 디코더 실험보고서 8페이지
    -7세그먼트 디코더BCD-to-7세그먼트 디코더는 그림 5.3에 나타낸 것과 ... 실험목적본 실험을 통해 BCD/7-세그먼트 디코더 드라이버에 대해 알아본다 ... BCD/10진수 디코더의 부울 함수를 참고하여 7-세그먼트의 각 요소를
  • 디지털회로실험 7-세그먼트, 비동기식 카운터 실험 레포트 10페이지
    기본 이론- BCD × 7- 세그먼트 디코더- 7-세그먼트(7-segment ... 디지털회로실험실험보고서제목 : 7-세그먼트 디코더 및 표시기비동기식 카운터 ... 실험 결과7-세그먼트 디코더 및 표시기 실험 결과D : 0, C : 0,
  • 디지털회로실험 텀프로젝트 3비트 가산기를 이용한 7세그먼트 디스플레이 9페이지
    BCD/10진수 디코더BCD/7-세그먼트 디코더 드라이버는 입력받은 BCD ... 디코더)7-세그먼트 LED2. ... 마찬가지로 다른 세그먼트에 대한 논리함수를 쓸 수 있다.74LS47(7-세그먼트
  • 전기및디지털회로실험 실험8 예비보고서 6페이지
    따라서 디코더-숫자표시기 조합은 BCD 입력으로부터 숫자를 표시하기 위한 ... -7 세그먼트 표시기7세그먼트 표시 장치는 7개의 선분(획)으로 구성되어 ... 이 디코더는 어떤 숫자에 해덩하는 BCD 코드가 주어지면 그 코드에 해당하는
더보기
최근 본 자료더보기
탑툰 이벤트
[논리회로] BCD 7 - 세그먼트 디코더
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:02 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기