• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

계산기 설계

*호*
최초 등록일
2012.05.16
최종 저작일
2009.11
6페이지/ 한컴오피스
가격 2,000원 할인쿠폰받기
다운로드
장바구니

* 본 문서는 한글 2005 이상 버전에서 작성된 문서입니다. 한글 2002 이하 프로그램에서는 열어볼 수 없으니, 한글 뷰어프로그램(한글 2005 이상)을 설치하신 후 확인해주시기 바랍니다.

소개글

데이터 처리장치와 함수장치를 레지스터를 이용하여 처리하는 부분을 설계
비트단계의 연산 설계

목차

1. 개요

2. 계산기 설계
1.1. 데이터 처리장치
1.1.1. 레지스터 파일
1.1.2. 함수장치
1.1.2.1. ALU
1.2. 제어장치
1.2.1. 명령어
1.2.2. 제어워드

본문내용

1. 개요.
=> 계산기의 기능을 갖는 간단한 컴퓨터를 설계한다.
=> +, -, Count Up, Count Down, AND, OR, NOT, XOR 의 연산을 갖는다.
=> 회로는 블록도로 나타내며, 블럭도에 해당하는 세부 블럭도까지 표현한다.

2. 계산기 설계
=> 계산기 회로는 크게 제어장치 부분과 데이터 처리장치 부분으로 볼 수 있다.
=> 데이터 처리장치는 공용 ALU를 가진 한 셋의 레지스터와 연결 경로들이 결합된 장치를 말하는데, 두 개의 레지스터 각각에 수를 받아서 연산 후 목적 레지스터에 입력하는 역할을 한다. 제어장치는 데이터 처리장치를 비롯하여 메모리 같은 시스템의 다른 구성 요소 내에서 수행되는 마이크로연산을 제어하는 신호를 제공한다.

제어장치
가. 데이터 처리장치.
=> 저장용 레지스터의 집합체인 레지스터 파일과 연산을 수행하는 함수장치가 있다.
레지스터 파일은 메모리와 같은 성격을 갖기 때문에 A 선택, B 선택, 목적지 선택 입력들이 곧 3가지 어드레스가 된다. A 어드레스는 A데이터 상으로 읽혀질 한 워드를, B 어드레스는 B데이터 상으로 읽혀질 두 번째 워드를 접근하는데 사용되며, D어드레스는 D데이터로 쓰여질 한 워드를 접근하는 데 사용된다. 이러한 접근 동작 모두는 동일한 클록 사이클 내에서 일어난다. 또한 로드 인에이블 신호에 해당되는 쓰기 입력이 제공된다. 쓰기 신호가 1일 때는 현재의 클록 사이클 동안에 레지스터에 데이터가 로드되도록 하며, 0일 때에는 로드되지 못하게 한다. 저장하는 기능을 제외하였기 때문에 출발 레지스터에 숫자들이 들어와 연산을 하고, 다음 숫자가 들어올 때까지 상태가 유지되었다가 또 다른 입력이 들어오면 대체하게 된다. 목적 레지스터도 마찬가지로 다음 연산이 수행되면 해당 숫자가 쓰여지게 된다.

참고 자료

없음

자료후기(1)

*호*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 컴퓨터구조 계산기설계보고서 9페이지
    이론1)계산기 구조 정의내부 register: A[4],B[4],IR[1] ... SA-SBT7halt된다.HALT;;3)블록도구성은 위 글과 마찬가지로 계산기의 ... Carry 저장 및 SC7474D Flip-Flop- IR 입력 및 SC2.설계1
  • [디지털회로 실험] verilog 4비트 계산기 설계 0페이지
    ), 베릴로그 파일, 테스트벤치파일로 구성되어있습니다.최종계산기는 top_block파일입니다 ... , rom, ALU 등 따로 설계하여 합치는 방식으로 설계해서 각각의 회로에 ... 쿼터스, 모델심사용하였고 사용언어는 베릴로그 입니다.mux, 가산기, decoder
  • 컴퓨터 구조 계산기_quartus 설계_2024 17페이지
    계산기설계할 것이다. ... 과목명컴퓨터 구조과제 제목계산기 설계학번 이름작성 시간제출 날짜간단한 구조의 ... #전체 회로도 (RTL Viewer 포함)# 계산기 작동계산기를 작동시키기
  • 기초전자회로및실험2 -ALUs(Arithmetic logic units)를 이용한 n-bit 계산기 설계 15페이지
    감가산기 - 계산기의 집적도를 고려 가산기와 감산기를 동시에 설계 -AND ... 여러 가지 연산을 수행하는 논리 회로를 통해서 PCB 기판의 규격에 맞는 계산기의 ... ALUs(Arithmetic logic units) 를 이용한 n-bit 계산기
  • [Ayeun]컴퓨터구조 계산기 설계 보고서 8페이지
    컴퓨터구조 보고서제목계산기 설계 보고서학과전자공학과학번성명제출일2018. ... 05. 25소요시간5시간#계산기 회로 완성 및 Timing simulation과 ... T1,T2,T4,T6신호를 만들어 낸다.여기서 JK의 클럭은 스위치이며 계산기
더보기
최근 본 자료더보기
탑툰 이벤트
계산기 설계
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:31 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대