[토끼] VHDL로 구현한 4 state 구현, Altera 보드로 LED2 상태로 시뮬레이션 및 확인
- 최초 등록일
- 2013.01.17
- 최종 저작일
- 2010.07
- 16페이지/ 한컴오피스
- 가격 3,000원
목차
(1-1) 4state 의 구현 - (수월한 확인을 위해 일단 각 상태마다 LED4개를 달아서 확인)
(1-2) 4state 의 구현 - testbeonch (자세한 주석은 뒷 페이지에서 다룸 )
(1-3) 4state 의 구현 - 시뮬레이션
(2-1) 4state 의 구현 - ( LED 2개 본래 과제대로 수행한 것 )
(2-2) 4state 의 구현 - testbeonch ( LED 2개 본래 과제대로 수행한 것 )
(2-3) 4state 의 구현 - 시뮬레이션 ( LED 2개 본래 과제대로 수행한 것 )
(2-4) 4 state 구현 소스, Synplify 합성
(2-5) Result and Code Analysis
본문내용
(1-1) 4state 의 구현 - (수월한 확인을 위해 일단 각 상태마다 LED4개를 달아서 확인)
Library IEEE;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity state_machine is
port( CLK : in std_logic;
RST : in std_logic;
X : in std_logic;
Y : in std_logic;
LED_1 : out std_logic; -- S0 LED ON
LED_2 : out std_logic; -- S1 LED ON
LED_3 : out std_logic; -- S2 LED ON
LED_4 : out std_logic -- S3 LED ON
);
end state_machine;
architecture BEHAVE of state_machine is
type state_machine_type is (S0_state, S1_state, S2_state, S3_state);
signal tmp_state : state_machine_type;
begin
process(CLK, RST, X, Y)
begin
if RST = `0` then -- 리셋신호는 음의 엣지에서 작동하므로 0일 때
tmp_state <= S0_state; -- S0의 상태로 바뀐다. LED0 ON
elsif CLK = `1` and CLK`event then -- 상승클럭을 받기 시작한다.
case tmp_state is
참고 자료
없음