• 통큰쿠폰이벤트-통합

디지털 회로설계 고속 동작 덧셈기 설계

*태*
최초 등록일
2013.02.11
최종 저작일
2012.12
8페이지/ 한컴오피스
가격 2,000원 할인쿠폰받기
다운로드
장바구니

소개글

디지털회로설계

목차

1. 제목
2. 설계 목적
3. 설계 내용
5. 시험 및 평가
6. 논의사항

본문내용

1. 제목 : 고속 동작 덧셈기 설계
2. 설계 목적
고속 동작 덧셈기의 설계를 통해 덧셈 과정에 있어서 carry의 역할을 이해하고 carry 처리 방법에 따른 여러 가지 덧셈기 구조들을 익히며(여기서는 CLA, CSA) combinational circuit의 설계 흐름을 숙지한다. 또한 VHDL을 사용해 덧셈기를 설계함으로써 VHDL의 coding 방법을 익히고 동작 확인 과정을 통해 simulation tool의 사용법을 익힌다.
3. 설계 내용
빠른 carry 처리를 통해 고속 연산을 가능하게 하는 Carry Lookahead Adde를 설계한다. 이때 덧셈기는 16-bit word의 입력과 출력을 가지도록 한다. 아래의 CLA 4bit block 을 이용하여 설계한다.

<중 략>

-우리가 고려해야 할 것은 Fan-in constaint다. Fan-in이란 입력단에 걸리는 총 신호의 개수를 뜻하는데, Fan-in증가하면 V(source)와 V(Bulk)사이의 전압차가 증가하여 Body effect가 발생하게 되, 오작동을 일으키게 된다. 즉 16bit CLA를 한 block 상에서 구현하는 것은 적합한 행위가 안된다. 또한 입력이 많아지면 입력들이 동시에 작용하지 못하여 delay가 발생하고 여러 개의 입력이 한꺼번에 변하게 되면 Critical Race가 발생하여 회로에 악영향을 끼치게 될 수도 있다.

참고 자료

이대영 저, 하드웨어 설계를 위한 VHDL 기초와 응용, 홍릉과학, 초판, 1995, pp.36-48, 64-66, 100
박세현 저, 디지털 시스템 설계를 위한 VHDL 기본과 활용, 그린, 초판, 1998 pp.31-39
*태*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(예비) / 2021년도(대면) / A+ 9페이지
    - 덧셈은 정보처리의 기본중에 기본이기 때문에 고속 정보처리를 위해서 우선 ... 곱셈기)과 내장 메모리의 존재여부이다. ... 실험의 목적Verilog HDL 언어를 이용하여 디지털 회로를 디자인 하기에
  • 서울시립대학교 전전설2 1주차 결과레포트(코딩 성공적, A+, 10점 만점 11점) 26페이지
    구현하는 실험이었다.덧셈을 구현할 때, XOR Gate와 AND Gate를 ... Carry를 처리해주기 위함이다.A/B의 입력이 1/1로 들어오는 경우는 덧셈을 ... Cout = [(AB)^Cin] v (A^B)의 값을 가지게 된다.이는 덧셈에서
  • 디지털공학 실생활 사례 8페이지
    마이크로프로세서의 회로는 ALU를 사용하여, 덧셈, 뺄셈, 곱셈과 나눗셈 ... 이것을 디지털회로와 결합하여 동작을 하게 된다. 1억 개 이상의 논리게이트를 ... 개발자가 기계어 설계를 하고, 기계어를 수행하기 위해 마이크로코드를 작성한다
  • 디지털 4장 예비보고서 Karnaugh Map 활용 8페이지
    다른 기본 게이트로, 두 개 또는 그 이상의 입력을 가질 수 있으며 논리 덧셈을 ... 기초전자회로설계및실험19주차 예비 보고서(Karnaugh Map 활용)학번성명1 ... 기본으로 하는 아날로그형 장치와 변압기를 사용하지 않는 디지털형 장치가
  • [디지털논리회로] 프로젝트 - 고속 동작 덧셈기 설계 19페이지
    디지털회로설계프로젝트 #21. 제목- 고속 동작 덧셈기 설계2. ... 설계 목적- 고속 동작 덧셈기설계를 통해 덧셈 과정에 있어서 carry의 ... 설계 과정덧셈기를 구현하기 위한 수학적 이론디지털에서 수를 표현하는 방법
더보기
최근 본 자료더보기
탑툰 이벤트
디지털 회로설계 고속 동작 덧셈기 설계
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:31 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대