응용논리회로 엘레베이터 VHDL 레포트
- 최초 등록일
- 2013.06.15
- 최종 저작일
- 2012.06
- 29페이지/ 한컴오피스
- 가격 2,500원
목차
없음
본문내용
VHDL 코드
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_misc.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity ele is port (
--------elevator port---------
rst, clk : in std_logic; --reset, clk
Op, Cl : in std_logic; --door control
person_in, person_out : in std_logic; --person check
F1_UP, F2_UP, F3_UP, F4_UP : in std_logic; --input
F2_DN, F3_DN, F4_DN, F5_DN : in std_logic; --input
F1, F2, F3, F4, F5 : in std_logic; --input
li_F1_UP, li_F2_UP, li_F3_UP, li_F4_UP : out std_logic; --input light output
<중 략>
이 엘리베이터는 각층에서 계속해서 입력을 받고 받은 입력을 signal로 저장을 해서(signal을 led로 표현해주었다) 가장 가까운 층으로 이동을 한다. 그 뒤 그 층의 상태로 넘어가게 되고, 또 그 층에서 가장 가까운 층으로 이동을 하게 된다. 기본적인 동작원리는 이것이며, 문이 닫히는 시간을 카운트하는 것으로 문이 열리고 닫히는 것을 제어한다. 사람이 타는 것을 센서가 없으므로 대신 KEY로 입력을 받도록 제작했으며, 타있는 사람이 11명이상일 경우 문 카운트가 계속해서 5로 바뀌게 되어 문이 닫히지 않고, 벨(led로 표현)이 울리게 된다. 또한, 열림 입력 시에도 문 카운트가 5로 바뀌게 되어 다시 카운트를 하게 되며, 닫힘 입력 시에는 카운트가 바로 0이 되어 문이 닫히게 된다.
참고 자료
없음