• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

통신실험 결과보고서(Unit9)

*준*
개인인증판매자스토어
최초 등록일
2013.12.19
최종 저작일
2013.11
30페이지/ 한컴오피스
가격 2,500원 할인쿠폰받기
다운로드
장바구니

목차

Unit 9. Pulse Code Modulation.
Exercise 1. Information Transmission with a PCM System.
Exercise 2. Resistance of PCM to Noise and Distortion

본문내용

1. 실험목적
PCM signals의 전송에 익숙해진다. 또한 PCM system의 성능과 PCM signals의 잡음과 밴드-제한의 효과에 대해 익숙해진다. 결국, PCM system의 송신 신호의 압축에 의한 수신 신호의 신장에 익숙해진다.

2. 실험이론
PCM은 가장 일반적으로 사용되는 디지털 전송의 한 형태이다. PCM 신호는 두 가지 방법(병렬전송 또는 직렬전송) 중 하나로 인코더에서 디코더로 전송되는 형태이다. 병렬전송에서는 code word의 각 bit들이 동시에 전송된다. 8bit 병렬전송에서는 8개의 신호 라인과 하나의 그라운드 라인이 필요하다. 직렬 전송에서는 code word의 각 bit들이 순차적으로 전송되고 이 때문에 한 쌍의 라인만이 필요하다. PCM신호들이 먼 거리를 통해 전송될 때는 직렬전송이 병렬전송보다 유리하다. 더 적은 수의 라인이 필요하고, 많은 경우에서 이미 존재하는 통신 라인들이 사용될 수 있기 때문에 비용도 대폭 줄어든다. 그러나 대부분의 A/D, D/A 컨버터는 병렬신호를 사용한다. 직렬 PCM신호를 전송하기 위해서 PCM 인코더는 parallel-to-serial converter를 필요로 한다. 전송라인은 다른 끝에서 PCM 디코더는 serial-to- parallel converter를 필요로 한다. 펄스 타입 신호의 전송률 또는 전송속도는 종종 band rate에 의해 나타난다. Baud rate는 가장 짧은 신호 element(on symbol)의 주기의 역수와 일치한다. 예를 들어, 신호의 train은 8가지 상태중 하나로 가정될 수 있다. 가장 짧은 신호 요소는 3bit가 될 것이고, 가장 짧은 신호요소가 1bit이기 때문에 1bit당 하나의 baud가 있고 Baud rate는 초당 전송되는 bit수와 일치한다. Exercise 2-3에서 관찰된 것처럼, 모든 PCM 시스템은 양자화 잡음과 메시지 신호에 비례하는 Signal-to-quantization noise ratio에 의해 점차 나빠진다. Signal-to-quantization noise ratio는 낮은 크기의 메시지 신호에서 작다. 이것이 일어나는 이유는 uniform하고, 또는 linear하고, quantizer 가 모든 신호의 수준을 위해 양자화 소음의 일정한 수준을 생산하기 때문이다. 낮은 레벨은 발달된 입력 신호의 범위에서 일정한 SNQR 을 유지하는 방법으로 speech 신호의 높은 레벨보다 일반적이다. 거의 linear한 신호 대 잡음비를 유지하는 가장 공통된 방법 중의 하나를 companding이라고 부른다. Companding은 실제로 두 과정으로 구성된다. 인코더의 메시지 신호의 압축은 디코더에게 확장되어 따른다. Companding은piecewise linear 근사와 함께 바라던 특성에 접근하고 있는 nonlinear 장치로 수행될 수 있다. 이 장치는linear quantizer 전에 신호의 path에 놓인다.

참고 자료

없음
*준*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 논리회로실험 비교기와 MUX, ALU 5페이지
    논리회로설계 실험 예비보고서 #5실험 5. 비교기와 MUX, ALU1. ... 디멀티플렉서의 관계이다.(4) ALU- arithmetic and logic unit의 ... EB%85%BC%EB%A6%AC%ED%9A%8C%EB%A1%9C3) ALUhttp
  • 교류및전자회로실험 실험1 아두이노 복습 예비보고 12페이지
    개요2이론 조사2실험 기기4예비보고서 문제풀이6실험 순서8참고 문헌12실험실험 ... 예비보고서 문제 풀이(1) 아두이노 우노의 Digital I/O 핀을 통해 ... [도구 - 보드 – Arduino Uno](6) [그림 9]과 같이 통신
  • 통신실험 결과보고서(Unit10-ex2) 17페이지
    결과보고서전자공학도의 윤리 강령 (IEEE Code of Ethics)(출처 ... 서약합니다.학 부: 전자공학부제출일: 2013. 11. 22.과목명: 통신실험교수명 ... Unit 10.
  • 전기및디지털회로실험 실험M1-1 예비보고 10페이지
    개요2이론 조사2실험 기기4예비보고서 문제풀이4실험 순서8참고 문헌9실험실험 ... 예비보고서 문제 풀이(1)정보값Diagital I/O 핀 개수14개Analog ... [도구-보드-Arduino Uno](9) 그림 10과 같이 통신포트를 선택한다
  • 통신실험 결과보고unit12 18페이지
    결과보고서전자공학도의 윤리 강령 (IEEE Code of Ethics)(출처 ... 서약합니다.학 부: 전자공학부제출일: 2013. 11. 29.과목명: 통신실험교수명 ... Unit 12.
더보기
최근 본 자료더보기
탑툰 이벤트
통신실험 결과보고서(Unit9)
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:52 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대