• 파일시티 이벤트
  • 유니스터디 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL을 이용한 순차회로 설계

*승*
개인인증판매자스토어
최초 등록일
2014.11.04
최종 저작일
2013.03
16페이지/ 한컴오피스
가격 2,000원 할인쿠폰받기
다운로드
장바구니

소개글

"VHDL을 이용한 순차회로 설계"에 대한 내용입니다.

목차

1. Introduction

2. Design
1) Describe what your circuit does
2) Describe how you solved
3) Provide specifications
4) Discuss how your circuit works
5) Discuss how you test it
6) Provide the simulation result and the code

3. Conclusion & Evaluation

본문내용

10주차 실습이었던 VHDL을 이용한 순차회로 설계는 3가지 쉬프트, circular shift, logicla shift, arithmetic shift를 작동하게 하는 회로였다. 이 3가지의 쉬프트를 구분하기 위해 mode와 direction을 변수로 두고 각각의 조합으로 총 6가지를 설계하였다. 쉬프트의 종류에 대해 알아보도록 하겠다.
◎ Circular shift
circular shift는 최상위 혹은 최하위 비트를 버리지 않고 순환하여 최하위 혹은 최상위로 보내는 쉬프트이다.
◎ Logical shift
쉬프트 연산시 최상위 혹은 최하위 비트를 0으로 하는 쉬프트이다.
◎ Arithmetic shift
쉬프트 연산시 부호비트를 유지하는 쉬프트이다. 단 왼쪽으로 쉬프트 할 때는 Logical 과 같다.
◎ 기억소자
이러한 shift를 하는데에는 기억소자가 사용되는데 기억소자에는 Latch와 Flip-Flop(FF) 형식이 있다.

<중 략>

Logical shift는 shift를 할 때 오른쪽 방향이면 맨 왼쪽 값을 가지고 있는 bit의 값이 사라지고, 왼쪽일 땐 맨 오른쪽 값을 가지고 있는 bit가 사라진다.
◎ Arithmetic shift
쉬프트 연산시 부호비트를 유지하는 쉬프트이다. 단 왼쪽으로 쉬프트 할 때는 Logical 과 같다.
arithmetic shift는 산술적 shift라고 부르기도 한다. 이 shift는 오른쪽일 때와 왼쪽일 때의 경우가 다른데, 오른쪽 방향 shift 일 때는 부호를 유지한 채로 한 bit씩 오른쪽으로 밀려난다. 왼쪽 방향의 shift일 때는 Logical shift의 왼쪽 방향 shift와 같다.
5) Discuss how you test it
이 그림은 Arithmetic 오른쪽으로 3번 shift 했을 때 모습이다.
논리적으로 저장된 값을 arithmetic shift로 오른쪽 3번 하였을 때의 결과값이 11110100 이 나오고, 그 결과 값을 kit에 넣었을 때 LED로 결과값을 관측 하는 모습이다. 다른 Simulator나 testbench를 통해 test 해보지는 않았다. 나머지 결과값들은 6번 항목에서 관측하도록 하겠습니다.

참고 자료

없음
*승*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 논리회로설계실험 스탑와치(stopwatch) 레포트 13페이지
    ) 설계 목표VHDL을 이용하여 스탑워치를 만든다. ... 설계하고, 7segment를 이용하여 시간을 표기한다. ... 분주기를 설정해 주기위한 VHDL 코드에 대해서 알아본다.
  • [논리회로실험] 실험11. 디지털 클락 19페이지
    이번 실험을 통해서 분주회로에 대해 복습하고, 간단한 순차회로 설계에 대해 ... 이용하여 간단한 디지털 시계를 설계한다. ... 제 출 일 : 2013.06.11.Introduction이번 실험에서는 VHDL을
  • 논리회로설계실습 순차회로(카운터) 결과보고서 7페이지
    논리회로설계 실험 결과보고서 #8실험 8. 순차회로 설계 - 카운터1. ... 작성한 소스코드를 바탕으로 RoV-Lab3000을 이용하여 설계한 카운터의 ... 조원2의 고찰8비트 존슨 카운터의 소스코드를 분석해 보면 동작적 모델링 VHDL
  • 논리회로설계실험_라인트레이서_프로젝트_결과보고서 9페이지
    실험 목표VHDL을 이용한 여러가지 VHDL표현 방식에 대해서 이해하였으며 ... 그에 따른 여러 조합회로와 순차회로 설계를 수행하였다. ... sen_in[2:0]와 우측 3개의 센서 sen_in[6:4]의 값을 이용하여
  • 논리회로설계실험 스톱워치 설계과제2 결과보고서 9페이지
    조합회로와 순차회로 설계를 수행하였다. ... 이용한 여러가지 VHDL표현 방식에 대해서 이해하였으며 그에 따른 여러 ... 논리회로설계 실험 설계과제 보고서 #2Stopwatch실험 배경 및 목표VHDL을
더보기
최근 본 자료더보기
탑툰 이벤트
VHDL을 이용한 순차회로 설계
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:56 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대