• 통큰쿠폰이벤트-통합

VHDL-시계

*승*
최초 등록일
2015.03.03
최종 저작일
2013.11
17페이지/ 한컴오피스
가격 1,000원 할인쿠폰받기
다운로드
장바구니

목차

1. Clk_gen 부분
2. Mode_set 부분
3. time 부분
4. Stop_watch 부분
5. Segment 부분
6. watch_main 부분

본문내용

• 일반 시계 기능, stop watch 기능, 시간 조정 기능(시, 분 조정)
• Reset 스위치를 포함하여 5개의 스위치로 구성
• 오차를 줄이기 위하여 입력 clock은 5MHz 사용
• Training kit를 이용한 동작 확인을 위하여 segment display부분을 추가하여 설계
• 전자시계의 초기 상태는 일반 시계 모드로서 시간을 표시

<중 략>

1. Clk_gen 부분
5MHz의 clock을 10000분주를 하여 500Hz를 만들고, 이를 기본으로 하여서, 시, 분, 초, ms 까지 만들 수 있다. 또한 reset 입력을 받아서 clock과 내부의 signal을 초기화 시킨다. signal을 초기화 하는 이유는 입력을 초기화 할 경우 component시 고려해야 할 타이밍 문제가 복잡 해 지기 때문에 각 component의 signal을 초기화 함 으로서 오동작을 방지할 수 있다.
2. Mode_set 부분
입력에 Mode_in, Time_set_in, Clear_in, Start_stop_in, reset을 만들고 출력에는 Mode, Time_set, Start_stop, Clear를 만든다. reset이 입력으로 들어오면 초기화상태가 된다. 각 입력은 push 스위치로 받으며, clock에 동기화 되게 한다.

<중 략>

library ieee;
use ieee.std_logic_1164.all;

ENTITY clk_gen IS
PORT(
clk5M : IN STD_LOGIC;
reset : IN STD_LOGIC;

clk500 : OUT STD_LOGIC);
END clk_gen;

ARCHITECTURE clk_generate OF clk_gen IS

SIGNAL clk500_subs : std_logic;

참고 자료

없음
*승*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 디지털 시계 실습 vhdl 레포트 (12,60진 카운터) 19페이지
    이용한 코드출력 결과디지털 시계이론적 배경Vhdl를 이용해 디지털 시계를 ... 배경Vhdl를 이용한 코드출력 결과디지털 시계--------------- ... ------------------------p.3멀티플렉서의 정의Vhdl
  • [논리회로설계실험]VHDL을 활용한 CLOCK설계 12페이지
    VHDL에서 코딩과정은 시간의 각 자리숫자를 하나씩 연산하여 나타내게 되는데 ... Source & Results1)VHDL Source2)Testbench ... 따라서 reset값에 따라 조건문을 구성하고, 시계의 각 자리수가 9에서
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 결과 보고서 10페이지
    안정 적으로 동작한다는 것과 74LS47의 출력 핀이 시계 방향 순서대로 ... 퀴즈 2번의 결과를 VHDL로 설계하고 FPGA로 구현하여 동작 확인VHDL ... Priority encoder의 동작 원리를 이해한다.4) FPGA와 VHDL
  • 논리회로설계실험 - 디코더/엔코더 예비레포트 9페이지
    목표디코더와 엔코더의 원리를 이해하고 3x8 디코더와 8x3 엔코더를 VHDL에서 ... 디지털 시계는 7 세그먼트 디스플레이에 세그먼트들의 조합을 이용하여 시간을 ... 표시할 수 있다. 7세그먼트 표시 장치의 각 획은 맨 위쪽 가로 획부터 시계
  • VHDL실습 디지털 시계 14페이지
    VHDL 및 실습디지털 시계 설계 및 시뮬레이션1.서론‘디지털시계‘ 라는 ... 전체 시스템의 설계를 vhdl이나 schematic등 하나의 디자인이 아닌 ... 기능별로 블록화, 부품화 하여 이를 Top-Down구조로 연결하여 설계해
더보기
최근 본 자료더보기
탑툰 이벤트
VHDL-시계 무료자료보기
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:26 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대