• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

디지털시계,digital clock 프로젝트

*희*
개인인증판매자스토어
최초 등록일
2017.04.02
최종 저작일
2017.02
20페이지/ 한컴오피스
가격 1,500원 할인쿠폰받기
다운로드
장바구니

목차

1. 개요
1.1. 목적

2. 시스템 구성
2.1. 회로분석
2.2. 하드웨어 구성
2.2.1. 89S51
2.2.2. FND / 74LS47
2.2.3. Crystal Oscillator
2.2.4. Buzer ALP1205S / 트랜지스터 2SA1266
2.2.5. 트랜지스터 2SC3198
2.3. 소프트웨어 구성
2.2.1. Pulse UP/DOWN Counter의 Interrupt 함수
2.2.2. Clock / Stop Watch의 Interrupt 함수
2.2.3. Quadrature Counter의 Interrupt 함수
2.2.4. FND 함수
2.2.5. Delay 함수
2.2.6. Quadrature Counter 함수
2.2.7. Pulse UP/DOWN Counter 함수
2.2.8. Stop Watch 함수
2.2.9. Digtal Clock 함수
2.2.10. Main 함수

3. 결론
3.1. 작동 방법
3.2. 작동 모습
3.3. 고찰

4. 참고문헌

본문내용

1. 개요
1.1. 목적
실험 시간을 통해 배운 AVR을 응용하여 AVR과 관련이 있는 마이크로프로세서 89S51 칩을 사용하여 Digital Clock을 제작한다. 뿐만 아니라 Digital Clock의 하드웨어적 구성과 소프트웨어적 구성을 분석하여 동작원리를 알아본다.

2. 시스템 구성
2.1. 회로분석
회로의 구성을 거시적으로 살펴보면 FND, 74LS47, AT80S51, Buzer, 스위치, Crystal과 기타소자로 구성되어 있다. 7805 Regulator를 사용하여 9V의 입력 전압을 각 소자에 맞는 5V으로 정류한다. 이때 FND는 9V의 전압을 사용한다. 또한 어댑터를 사용할 경우에는 다이오드를 이용하여 전압을 낮춘다.
FND와 LED에 과전류를 방지하기 위해 저항을 연결하였고. Port0에는 Pull-up 저항으로 8개의 저항이 연결되어 있다. Pull-up 저항이란 IC의 I/O 단자에 연결하여 잡음에 의한 동작을 방지하기 위한 저항이다. 80S51의 Port1-3으로 6개의 FND를 제어한다. 또한 AT80S51에서 내부의 클럭을 사용하기 위해 PIN 18, 19에 발진기 회로를 연결하였다.

참고 자료

윤성우, 『열혈 C프로그래밍』, Orange Media, 2011.
윤왕철, 『AVR ATmega128』, 복두출판사, 2011.
차영배, 『C언어로 배우는 8051 기초&응용』, 다다미디어, 2008.
한백전자 기술연구소, 『HBE-MCU-Multi로 배우는 마이크로 컨트롤러』, 한백전자, 2010.
네이버 백과사전, 상승저항, http://terms.naver.com/entry.nhn?cid=2959&docId=834186&mobile&categoryId=2959
네이버 백과사전, 수정발전기, http://terms.naver.com/entry.nhn?cid=200000000&docId=1115770&mobile&categoryId=200000516
네이버 카페, Quadrature counter, http://cafe.naver.com/labviewkorea/966
아벨기전, Buzer specifiacations, http://www.partsnala.co.kr/shop/shopdetail.html?branduid=158882&mcode=001&scode=&search=&sort=order&type=X&xcode=043
Alldatasheet, http://www.alldatasheet.com
*희*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 디지털시계 프로젝트, digital clock 프로젝트 34페이지
    실험(4)프로젝트 보고서디지털시계1. ... 동작원리를 알아본다.(2) 실습 목표디지털시계는 동일한 회로로 4가지의 다른 ... Digital Clock의 하드웨어적 구성과 소프트웨어적 구성을 분석하여
  • 베릴로그(verilog) HDL 시계 프로젝트 17페이지
    프로젝트 목적Verilog를 이용하여 Alarm clock, Stopwatch ... 기능이 탑재되어 있는 디지털 시계를 설계한다.2. ... 프로젝트 목적2.
  • 디지털 알람 시계 (디지털 시계 알람 기능 구현) 13페이지
    앞의 회로와 마찬가지로 디지털 시계가 작동하기 위한 clock을 발생시키는 ... ’ 실험에서 배운 이론을 응용할 수 있는 ‘디지털 알람 시계’를 프로젝트 ... 시계가 작동하기 위한 clock을 발생시키는, 555 타이머 소자와 저항
  • term project - ALUs (Arithmetic logic units)를 이용한 다기능 디지털 시계 설계 14페이지
    않았다.디지털 시계의 기능을 위하여 clock 신호를 만들어내는 것이 핵심이었다 ... 7408에 넣어 clock값이 high일 때 스위치를 stop으로 넣어 ... 7404의 3개의 not gate와 저항과 커패시터를 이용하여 만들어 낸 clock신호를
  • Quartus digital clock project 쿼터스 디지털 시계 프로젝트 A+ 0페이지
    디지털 회로 설계 실험 수업에서 A+ 받은 자료입니다.쿼터스로 디지털 시계 ... 설계했고 모델심으로 확인도 가능합니다.한학기동안 진행했던 프로젝트로 DE2
더보기
최근 본 자료더보기
탑툰 이벤트
디지털시계,digital clock 프로젝트
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:38 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기