• 유니스터디 이벤트
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

전자회로실험) 결과보고서12. Digital Logic

*동*
개인인증판매자스토어
최초 등록일
2017.05.01
최종 저작일
2017.03
2페이지/ 한컴오피스
가격 1,000원 할인쿠폰받기
다운로드
장바구니

목차

1. 결과값
2. 고찰

본문내용

2. 고찰
이번 실험은 트랜지스터를 이용하여 디지털 로직인 인버터와 NOR gate를 구현하는 것이었다. Inverter 와 Not gate는 1학년 2학기에 논리회로 시간에 배웠다.
그 방법은 Saturation과 Cut off로, BTJ의 중요 특성인 Forward active에서의 선형 관계를 이용하지 않는 다는 것이다. 베이스에 전압이 걸리지 않으면 베이스 전류가 흐르지 않고 콜렉터 전류와 에미터 전류도 흐르지 않게 어 양단이 open 되는 Cut off상태가 된다. 따라서 VO는 VCC가 그대로 걸리게 된다.
반대로 베이스에 과도한 전압이 걸리면 베이스 전류가 커져서 결국 IC = β IB의 선형관계가 성립하지 않는 Saturation상태가 된다. VCE = VCC - RC IC 이고 Saturation되어 IC가 더 이상 증가하지 않을 때 VCE = VCE,sat 이 된다.
그리고 이번 실험의 오차가 별로 발생하지 않았지만 그래도 분명 오차가 있었다.

참고 자료

없음
*동*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 전전설2 실험1 결과보고 8페이지
    TTL design9/1~9/8전자전기컴퓨터공학부 2019440019 김민지결과보고서1 ... 실험결과 분석[실습3]실험 결과 : 스위치를 내렸을 때 D, 스위치를 ... C = xy + xz + yz[2-5] 디지털 로직의 조합회로와 순차회로
  • 아주대학교 일반전자공학실험 Digital I/O A+ 결과보고 11페이지
    Lesson 5 Digital I/O 결과보고서 01Exercise 5-1 ... I/O 결과보고서 02Exercise 5-1 Visualizing Digital ... 이 실험에서는 555타이머 뿐만 아니라 전자소자들(저항과 축전기)을 필요로
  • 임베디드 IoT 응용실험 - VHDL을 이용한 8-bit ALU 9페이지
    동영상 제작주차별 계획1주차 : 계획 보고서 작성에 있어, 디지털 공학, ... 전자회로 과목 복습 및 VHDL 강좌 수강, 툴 설치(VAIVADO)_최신버전 ... tool VIVADO로 임시 시뮬레이션 → [FPGA 이용 방법은 기초회로실험_실험
  • 건국대학교 전기전자기초실험1 12주차 예비보고서 A+ 24페이지
    전기전자기초실험1 예비보고서 – 12주차학과 : 2 학번 : 이름 : 21 ... 출력으로 발생하는 전자회로이다. ... 출력으로 발생하는 전자회로이다.
  • 디지털 회로 실험 및 설계 - 부울대수와 카르노맵, RS Flip Flop 실험 2 14페이지
    디지털회로실험및설계 결과 보고서 #2( 부울대수와 카르노맵, RS Flip-Flop ... 회로도, 이론값, 실험결과실험 1) 다음 회로를 시뮬레이션하고 표를 완성하라 ... 01010001101011000001실험결과)SRQQ 출력전압Q'Q' 출력전압0100.15V14.2V0000.17V14.2V1014.2V00.15V1100.13V00.14V0000.12V14.2V실험
더보기
최근 본 자료더보기
탑툰 이벤트
전자회로실험) 결과보고서12. Digital Logic
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:13 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대