• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습8 [결과레포트]

*범*
개인인증판매자스토어
최초 등록일
2017.10.19
최종 저작일
2016.10
22페이지/ MS 워드
가격 1,000원 할인쿠폰받기
다운로드
장바구니

목차

<초록 (Abstract) >

1. Introduction (실험에대한소개)
가. Purpose of this Lab
나. Essential Backgrounds (Required theory) for this Lab

2. Materials & Methods (실험장비및재료와실험방법)
가. 실험을통해구하고자하는데이터와이를획득하기위한실험순서

3. Results of this Lab (실험결과)
가. Results of Lab

4. Discussion (토론)
가. Discussion

5. Conclusion (결론)
가. Summarize experiment contents & purpose of this Lab
나. Studies from this Lab

6. Reference (참고문헌)

본문내용

1. Introduction (실험에대한소개)
가. Purpose of this Lab
− Text LCD에 대해 이해하고 학습한다.
− Text LCD에 문자를 표시할 수 있는 회로를 설계한다.
− Text LCD에 자신의 학번과 이름을 표시할 수 있다.

나. Essential Backgrounds (Required theory) for this Lab
(1.) Text LCD
- LCD 창에 문자를 표시하는 장치
- 7-Segment 등의 표시 장치와 다르게, Text LCD에는 액정에 문자를 표시하기 위한 컨트롤러가 같이 구성되어 있기 때문에, 이 컨트롤러를 제어하는 로직이 설계되어야 함.

(2.) Text LCD 제어 명령어
- Display Clear : 전체 화면을 지우고 어드레스 카운터를 DD-RAM 어드레스 0으로 하여 커서를 home 위치로 옮긴다.
- Cursor home : DD RAM의 내용은 변경하지 않고 커서만을 home 위치로 옮긴다.
- Entry mode set : 데이터를 read하거나 write할 경우에 커서의 위치를 증가시킬 것인가(I/D=1) 감소 시킬 것인가(I/D=0)를 결정하며, 또 이때 화면을 시프트 할 것인지(S=1) 아닌지(S=0)를 결정한다.

참고 자료

Lab_09.ppt
*범*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

최근 본 자료더보기
탑툰 이벤트
전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습8 [결과레포트] 무료자료보기
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:47 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대