• 유니스터디 이벤트
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL 디지털 시계 digital watch

소희짱
개인인증판매자스토어
최초 등록일
2017.11.26
최종 저작일
2017.04
15페이지/ 한컴오피스
가격 2,000원 할인쿠폰받기
다운로드
장바구니

* 본 문서는 한글 2005 이상 버전에서 작성된 문서입니다. 한글 2002 이하 프로그램에서는 열어볼 수 없으니, 한글 뷰어프로그램(한글 2005 이상)을 설치하신 후 확인해주시기 바랍니다.

목차

1. 실습목적

2. 이론

3. 실습내용 및 결과
(1) 1초 생성기
(2) 60진/12진 카운터
(3) MUX
(4) FND 디코더
(5) Digital Watch

4. 실습 소감

본문내용

1. 실습목적
디지털 타이머에 필요한 카운터들을 직접 만들어 보고, Component 구문을 활용하여 1초마다 시간이 흐르는 디지털 시계를 설계한다.

2. 이론
(1) 계층구조(Hierarchy Structure), Top Entity
계층구조란 전체 시스템의 설계를 하나의 디자인이 아닌 기능별로 구분된 최소 모듈(블록) 단위로 설계하고 이를 Top-Down 구조로 연결, 협엽/분업 설계(Bottom-Up)
예를 들어, 복잡한 설계는 팀 단위로 일을 한다. 그 중 팀을 관리하는 팀장(리더)가 팀원에게 설계하라고(협역) 지시하는 것과 비슷하다고 생각하면 된다.

Top Entity는 디자인이 여러 개가 존재할 때 제일 상위에 있는 것으로 나머지 디자인을 포함한다. Top Entity로 Schematic을 사용할지 VHDL을 사용할 지는 설계하는 사람(리더)이 결정한다.

Top Entity Schematic
장점: 심볼 형태->한눈에 알아보기 쉬움
즉, 전체적 구성을 알기 쉬움
단점: 복잡하고 Top Disign은 쿼터스 프로그램 밖에 못 쓴다.
VHDL
장점: 디자인이 바뀌어도 사용 가능하다
단점: 전체구조를 알아보기 힘들다.

참고 자료

없음

자료후기(1)

소희짱
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • VHDL - Digital Watch 소스. 정상 동작 확인. A+ 55페이지
    디지털 설계 , 콤보2 장비를 사용했습니다. vhdl 프로그램 소스입니다.VHDL ... - Digital Watch 소스 입니다. ... 메인시계, 스탑워치, 알람설정, 시간설정, Dot Matrix, vfd 등
  • VHDL을 이용한 digital watch 설계 19페이지
    실습명 : Digital Watch2. 실습 목표? ... 디지털 시계디지털 시계란.. ... 계층구조를 사용하여 디지털시계VHDL로 구현하고 DE2 보드동작을 확인한다
  • VHDL을 이용한 디지털시계설계 31페이지
    VHDL을 이용한 디지털시계(Digital Watch)① 시간표시 기능(시 ... VHDL을 이용한 디지털시계4. VHDL Code5. ... 전체적인 디지털시계설계 소개- VHDL 구문을 이용하여 디지털시계를 설계하는
  • VHDL의 활용(_디지털시계의 설계) 12페이지
    디지털시스템의 설계를 위한 VHDL의 기본과 활용VHDL의 활용[ 디지털시계 ... (digital watch)의 설계]▣ 제1절 목표,구성 및 동작? ... 디지털 시계 설계?
  • 쿼터스 VHDL을 이용한 디지털 시계 설계 결과 37페이지
    시계 구현Quartus를 이용한 VHDL의 이해카운터와 Decoder를 이용한 ... 디지털시계 동작원리 이해P r o j e c t 목 표알람 및 스탑워치설정이 ... Source설계 및 구현방법개 요우리주변에서 흔히 볼수있는 디지털
더보기
최근 본 자료더보기
탑툰 이벤트
VHDL 디지털 시계 digital watch
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:06 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대