• LF몰 이벤트
  • 파일시티 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

시계 반응 5.21

*현*
개인인증판매자스토어
최초 등록일
2017.12.16
최종 저작일
2017.05
8페이지/ 한컴오피스
가격 1,000원 할인쿠폰받기
다운로드
장바구니

* 본 문서는 한글 2005 이상 버전에서 작성된 문서입니다. 한글 2002 이하 프로그램에서는 열어볼 수 없으니, 한글 뷰어프로그램(한글 2005 이상)을 설치하신 후 확인해주시기 바랍니다.

목차

1. 실험목적
2. 실험원리
3. 실험기구 및 장치
4. 실험방법
5. 실험결과 및 분석
6. 참고문헌

본문내용

1. 실험목적
염화아이오딘()이 티오황산나트륨()에 의해 환원되어 아이오딘()이 되고, 생성된 아이오딘()이 녹말 지시약과 만나 청남색으로 변하는 시간을 측정하여 이론값과 비교한다.

2. 실험원리
(1) 시계 반응
일정 시간이 경과한 후 변색, 침전의 생성 등이 뚜렷한 변화를 일으키는 반응. 유도기(誘導期)를 가진 화학 반응의 특수한 경우이다. 예를 들면 KI, KIO3 및 KAsO2의 혼합 용액을 아세트산 및 아세트산나트륨으로 이루어진 완충액(pH 4~5)에 넣고 녹말 용액을 첨가하면 일정 시간 후 액체는 갑자기 청색으로 변화한다. 이것은 처음에는 완만한 반응이다.

(2) 속도 결정 단계
화학 반응은 보통 하나의 반응식으로 나타내지만 실제로 그 화학 반응이 일어날 때에는 반응 물질들이 동시에 충돌해 반응을 일으키는 것이 아니라, 대부분의 반응은 연속된 일련의 일단계 반응을 거쳐 일어난다. 예를 들어 이산화질소와 일산화탄소가 반응하여 일산화질소와 이산화탄소를 생성하는 반응은 다음과 같다.

참고 자료

대학화학실험법, 대학화학실범법편찬위원회, 단국대학교출판부, p146-156, (2014)
http://terms.naver.com/entry.nhn?docId=2296209&cid=42419&categoryId=42419
[네이버 지식백과] 시계 반응 [時計反應, clock reaction, Uhrreaktion] (화학대사전, 2001. 5. 20., 세화)
http://terms.naver.com/entry.nhn?docId=1190511&cid=40942&categoryId=32252
[네이버 지식백과] 산화환원반응 [oxidation-reduction reaction, 酸化還元反應] (두산백과)
http://terms.naver.com/entry.nhn?docId=1275817&cid=40942&categoryId=32251
[네이버 지식백과] 속도결정단계 [Rate-determining step(RDS), 速度決定段階] (두산백과)

자료후기(1)

*현*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • [경희대학교 A+] 시계반응 사전보고서 레포트 5페이지
    Reference일반화학실험/ 경희대 이과대학/ p.17~21줌달의 일반화학 ... 시계 반응은 기질-고갈 시계 반응, 자체 촉매 작용 방식의 시계 반응, 모조시계 ... Subject : 시계반응2.
  • [일반화학실험] 시계 반응 11페이지
    10-24.0×10-21.0×10-37.8 ×10-624.0×10-24 M ... /s = 5.3 ×10-6 M/s< 초기 반응 속도법을 이용하여 구한 반응 ... =K =반응 3= 5.3 ×10-6 M/s =K =< 평균 속도 상수 >
  • 일반화학실험2_시계반응 4페이지
    =2.421 min 27 s100/87=1.11.21 min 25 s100 ... 0.10M5.0mL 0.10M4) 100mL 삼각 플라스크와 초시계를 준비한다 ... 색깔이 변화하는 반응시계반응이라고 한다.
  • (일반화학실험) 화학반응속도 시계반응 (예비보고서) 6페이지
    900 mL 가 되도록 증류수를 가하고 실온이 될 때까지 기다린 후 0.21 ... 시계 반응 중 하나가 Landolt iodine 시계반응인데, iodate ... 일반화학실험(2)실험 예비보고서화학반응속도-시계반응실험일시학과학번이름담당교수실험목표
  • (일반화학실험) 화학반응속도 시계반응 (결과보고서) 6페이지
    화학반응속도 - 시계반응실험 결과보고서 20화학반응속도 - 시계반응제출일학과학번이름담당교수실험 ... 이번 실험에서는 시계 반응 중 하나인 Landolt iodine 시계반응을 ... 완료되었음을 알려주는 반응시계반응이라고 한다.
더보기
최근 본 자료더보기
유니스터디 이벤트
시계 반응 5.21
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:54 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대