• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

논리회로실험 프로젝트 도어락

*현*
최초 등록일
2018.11.18
최종 저작일
2018.01
14페이지/ 한컴오피스
가격 1,000원 할인쿠폰받기
다운로드
장바구니

목차

1.도어락의 개요
2.입력, 출력 및 상태
3. 동작원리
4.다이어 그램
5.소스코드
6.Test Banch 코드
7.시뮬레이션 결과

본문내용

도어락은 번호를 입력받아서 그 번호가 일치할 경우 문이 열리고 일정 횟수 이상을 틀릴 경우 알람이 작동한다.

1.입력
- 클럭 : clk
- 리셋 : rst
- 입력버튼 : ps_start
- 종료버튼 : ps_end
- 수정버튼 : ps_mod
- 비밀번호 : ps_num

2.출력
- 문 열림 : door_open
- 알람 : alarm

3.상태
-ready
-in_start
-in_end
-door_con
-end_state
-mod_state

동작원리
digital door rock 입력모드
- door rock의 키패드에서 암호를 입력받아 문을 열게 하는 모드
- 입력버튼을 누르면 입력모드로 설정됨
- 암호를 입력받아 수정모드에서 설정된 암호와 틀리면 다시 입력버튼을 눌러서
암호를 입력해야 함
digital door rock 수정모드
- door rock의 비밀번호를 수정하는 모드
- 수정버튼을 누르면 수정모드로 설정됨
- 처음에 4개의 비밀번호를 입력
그 뒤 4개의 새로운 비밀번호를 입력
알람 작동
- 입력이 일정횟수가 틀리면 알람이 작동함
문이 열리고 일정시간이 흐를 경우
- 문이 열리고 일정 시간이 흐르면 문이 닫힘

소스 코드
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity door_lock is
port ( clk : in std_logic;
rst : in std_logic;
ps_start : in std_logic :='0';
ps_end : in std_logic :='0';
ps_mod : in std_logic :='0';
ps_num : in std_logic_vector (3 downto 0);
alarm : out std_logic :='0';
door_open : out std_logic );
end door_lock;

참고 자료

없음
*현*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 실험(1)디지털 논리회로 프로젝트 Door-Lock 설계 14페이지
    실험(1) 프로젝트 : Door-Lock 설계지시사항(1) 총 3개의 7- ... 2018년 1학기 실험(1): 디지털 논리회로12018년Final Project1목차1 ... 전체 회로도완성된 Door-Lock 회로6.
  • 실험1 실험(1) 프로젝트 디지털논리회로 도어락 설계 12페이지
    고 찰1학기 동안 디지털논리회로 수업에서 습득한 이론으로 실험수업을 하면서 ... (기본논리게이트, 카운터 jk플립플롭, d플립플롭 등을 )을 사용하여 실험했던 ... 프로젝트에서는 기본논리게이트중 NOT게이트(74LS04)와 AND게이트(
  • 디지털도어락 만들기 프로젝트 결과보고서. 9페이지
    실험디지털 도어락 Project결과 수행 보고서학번이름학과전기전자과담당 조교님제출일제출일자 ... D플립플롭을 추가하여 불을 표시 할 수 있도록 사용하였다.< 2주차에 만든 논리 ... 프로젝트를 하며 느낀 점프로젝트를 하면서
  • 논리회로설계실험 프로젝트_digital door rock 44페이지
    과 목 : 논리회로설계실험과 제 명 : 프로젝트 결과보고서(P_6조)담당교수 ... : 정 광 수, 손 계 익제 출 일 : 2011. 6. 2111_1학기_논리회로설계실험 ... 설계하였다. 4자리 비밀번호를 갖는 도어록으로 구성하였다.■ 회로에서 설계한
  • 디지털 회로 설계-도어락 5페이지
    도어락Digital Door lock김경준(20092450), 김우철(20094321 ... 따른 실험을 할 수 있는 학문을 선택하여 이번 텀 프로젝트에 참여하게 되었다.마지막 ... 개발 목표디지털 회로 설계 시간에 배운 내용을 바탕으로 디지털 도어락을 설계
최근 본 자료더보기
탑툰 이벤트
논리회로실험 프로젝트 도어락
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:16 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대