• 유니스터디 이벤트
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

[기초회로실험]D Flip-flop의 설계

곰뚱
개인인증판매자스토어
최초 등록일
2019.03.31
최종 저작일
2019.03
3페이지/ 한컴오피스
가격 1,500원 할인쿠폰받기
다운로드
장바구니

* 본 문서(hwp)가 작성된 한글 프로그램 버전보다 낮은 한글 프로그램에서 열람할 경우 문서가 올바르게 표시되지 않을 수 있습니다. 이 경우에는 최신패치가 되어 있는 2010 이상 버전이나 한글뷰어에서 확인해 주시기 바랍니다.

소개글

Logic Lab Unit과 Electronic Logic Gate들을 이용하여 D Flip-flop를 설계하고 설계 후 디지털 회로의 결과를 알아보는 실험레포트입니다.

목차

1. 실험 목적
2. 실험 이론 및 원리
3. 실험 기구
4. 토의 사항
5. 실험 방법
6. 실험 결과

본문내용

1. 실험 목적
1.1. Logic Lab Unit과 Electronic Logic Gate들을 이용하여 D Flip-flop를 설계하고 설계 후 디지털 회로의 결과를 알아본다.

2. 실험 이론 및 원리
2.1. Flip-Flop
2개의 안정상태를 지니고, 트리거에 의해 한쪽 안정상태에서 다른 안정상태로 바뀌고, 다음 트리거에 의해 본래의 상태로 되돌아가는 것을 반복하는 회로를 말한다. 다시 말해 플립플롭 회로는 세트(set) ·리세트(reset:복귀)라는 2개의 입력단자와, 마찬가지로 세트 ·리세트라는 2개의 출력단자를 가지고 있다. 세트입력에 신호를 받으면 다음 순간부터 세트출력에서 신호를 내고, 리세트입력에 신호를 받으면 다음 순간부터 리세트출력에서 신호를 낸다. 전자를 세트상태, 후자를 리세트상태라고 한다. 이와 같이 플립플롭은 2개의 안정상태를 1 또는 0에 대응시켜 1비트를 기억할 수 있다. 실제 회로는 증폭회로를 2단고리처럼 연결한 형식이 기본이다. 플립플롭회로는 컴퓨터의 연산 ·제어회로에서 수를 저장하거나 정보의 흐름을 제어하기 위해 많이 사용된다.
filp-flop은 종종 clock과는 독립적으로 flip-flop을 어떤 초기 상태로 set하기 위해 부가적인 입력을 갖는데, preset와 clear 가능한 positive edge triggered D flip-flop은 clear와 preset 압력을 가지는 D flip-flop을 말한다.

참고 자료

없음
곰뚱
판매자 유형Diamond개인인증
소개
이공계실험 | 소박한 실험 노트 | IT | 의약품 연구
https://chemup.tistory.com

저자정보 확인 : 한글실행-파일-문서정보(ctrl+Q,I)
전문분야
공학/기술, 자연과학, 의/약학
판매자 정보
학교정보
비공개
직장정보
비공개
자격증
  • 비공개

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 부산대학교 기전실 학번 순차회로 9페이지
    저희 분반의 경우 D Flip Flop을 사용한 회로와 JK Flip Flop을 ... D Flip Flop 설계표를 이용하여 설계합니다. ... D Flip Flop으로 설계> 202124291라는 학번을 가지고 설계
  • 정실, 정보통신기초설계실습2 11주차 결과보고서 인하대 5페이지
    D flip flop의 이해를 완전히 다질 수 있는 실험이었다.2019- ... -bit right shift register회로의 구성에서 4번째 flip ... 2학기 정보통신기초설계실습페이지 PAGE2 / NUMPAGES2
  • 부산대학교 기전실 스톱워치 설계 7페이지
    각각의 D Flip Flop에는 카운터의Q _{A}Q _{B}Q _{C}Q ... Flip Flop은 CLK의 Edge가 발생할 때 D가 0일 경우 Q가 0이고 ... 김재호, 김성신, 남일구 공저 / 논리회로 설계실험 / 부산대학교출판문화원
  • 기초전자설계실험 예비보고서 JK Flip-Flop과 클락생성 5페이지
    -실험전 예비보고서를 준비할 때 D Flip-Flop과 JK Flip-Flop ... 얻을 수 있다.실험회로 및 시뮬레이션 결과4.1)JK Flip-Flop설계문제 ... -JK Flip-Flop SN7476/7478 내부 회로도와 설계문제 2)
  • 4주차-실험15 예비 - 플립플롭의 기능 7페이지
    2015년도 제2학기기초회로실험기초회로실험실험15. ... flop을 JK, D, T flip flop으로 변환시켜라.(3) Race ... flip flop 회로를 비교 설명하라.⇒ Latch와 flip flop
더보기
최근 본 자료더보기
유니스터디 이벤트
[기초회로실험]D Flip-flop의 설계
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:25 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대