• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL-1-가산기,감산기

전전컴공
개인인증판매자스토어
최초 등록일
2021.09.23
최종 저작일
2019.03
34페이지/ 한컴오피스
가격 2,000원 할인쿠폰받기
다운로드
장바구니

목차

Ⅰ. 실습제목: 반가산기
1. 주제 배경 이론
2.1 소스코드 설명(Schematic)
2.1 소스코드 설명(VHDL)
3. 시뮬레이션 결과 및 설명

Ⅱ. 실습제목: 전가산기
1. 주제 배경 이론
2.1 소스코드 설명(Schematic)
2.1 소스코드 설명(VHDL)
3. 시뮬레이션 결과 및 설명

Ⅲ. 실습제목: 반감산기
1. 주제 배경 이론
2.1 소스코드 설명(Schematic)
2.1 소스코드 설명(VHDL)
3. 시뮬레이션 결과 및 설명

Ⅳ. 실습제목: 전감산기
1. 주제 배경 이론
2.1 소스코드 설명(Schematic)
2.1 소스코드 설명(VHDL)
3. 시뮬레이션 결과 및 설명

Ⅴ. 실습제목: 8bit 가산기
1. 주제 배경 이론
2.1 소스코드 설명(Schematic)
2.1 소스코드 설명(VHDL)
3. 시뮬레이션 결과 및 설명

Ⅵ. 실습제목: 4bit 가감산기
1. 주제 배경 이론
2.1 소스코드 설명(Schematic)
2.1 소스코드 설명(VHDL)
3. 시뮬레이션 결과 및 설명

Ⅶ. 토의 및 실습소감

본문내용

실습제목: 반가산기

1. 주제 배경 이론

2진수의 덧셈을 구현하는 회로이다. 한 자리 수만 존재한다고 가정한다. 이때 두 수의 합은 일의 자리에 나타나고 받아올림이 발생하면 그 다음 자리수에 할당이 된다. 이것을 진리표로 그리면 다음과 같다.

이것을 카르노맵을 이용하여 최적화를 진행한다. 먼저 Sum과 Carry에 대해 각각의 카르노맵을 그린다.

각각의 부울식을 쓰면 Sum = A’B+AB’ = A xor B, Carry = AB 이다.

2.1 소스코드 설명(Schematic)

카르노맵을 통해 나온 부울식인 Sum = A’B+AB’ = A xor B, Carry = AB를 그대로 설계한 것이다. X, Y 2개의 입력을 XOR, AND 게이트에 각각 넣어서 출력 Sum, Carry를 구한다.

2.1 소스코드 설명(VHDL)

소스코드
library ieee;
use ieee.std_logic_1164.all;

entity Half_Adder is
port( X : in std_logic;
Y : in std_logic;
S : out std_logic;
C : out std_logic);
end Half_Adder;

architecture Dataflow of Half_Adder is

begin
S <= X xor Y;
C <= X and Y;

end Dataflow;

설명
--포트를 2개의 입력과 2개의 출력으로 설정했다.
--실제 동작을 결정하는 코드로 위에서 나온 부울식을 그대로 작성하여 Sum에서는 입력의 xor을 Carry는 and를 사용하여 대입했다.

3. 시뮬레이션 결과 및 설명
Schematic
VHDL

X는 50ns, Y=100ns의 주기로 입력했다.

I) 0~50ns -> X=0, Y=0
Sum, Carry 모두 0이 나왔다.

참고 자료

없음
전전컴공
판매자 유형Silver개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 디시설 - 4비트 가산감산기 , BCD 가산 10페이지
    결과 보고서( 4비트 가산/감산기 , BCD 가산기 )제목4비트 가산/감산기 ... , 3 입력15, 3 입력15, 7 입력9, 9 입력BCD 가산VHDL코드 ... 출력하기 위해 BCD로 변환하는 과정을 실습한다.실습 내용실습 결과4비트 가산VHDL코드
  • 디시설 - 전가산기, 전감산기 설계 9페이지
    가산기, 전감산기 설계 과정을 통해 조합논리회로를 VHDL로 설계하는 방법에 ... 결과 보고서( 전가산기, 전감산기 설계 )제목전가산기, 전감산기 설계실습 ... y) + xy② XOR을 사용한 전가산VHDL코드 설계- S와 C의 논리식을
  • BCD 가산기 설계 결과보고서 3페이지
    ]연습문제1. 4비트 가산기/감산기에서 입력이 다음 표와 같을 때 FND에 ... “0111”“1110”F9“1101”“0010”0B2. n비트 가산기/감산기의 ... , VHDL ; 가산회로는 부호를 고려하지 않아도 되지만, 감산회로는 부호를
  • Full adder VHDL 실습보고서(전가산기) 11페이지
    가산기)와 Subtractor(감산기)를 직접 VHDL코딩을 통해 구현하는 ... 배경이론(Background)1)Full adder (전가산기)1비트의 2진수를 ... 추가적으로, 감산기를 구현하는 상황에서는, 2’s complement(2의
  • 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서 10페이지
    가/감산기 회로를 구성하여 가산기와 감산기로 작동하는지 확인하였다.그림 ... 완성하도록 한다실험 결과2비트 병렬 가산기4비트 가/감산가산감산기멀티플렉서결과 ... 방법가산기와 감산가산기는 컴퓨터에서뿐만 아니라 수치 데이터를 처리하는
더보기
최근 본 자료더보기
탑툰 이벤트
VHDL-1-가산기,감산기
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 08일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:45 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기