부경대 전자공학과 디지털시스템설계 중간1(인코더)
- 최초 등록일
- 2022.02.05
- 최종 저작일
- 2021.10
- 6페이지/
어도비 PDF
- 가격 4,000원
![할인쿠폰받기](/images/v4/document/ico_det_coupon.gif)
소개글
"부경대 디지털시스템설계 중간고사1(인코더)"에 대한 내용입니다.
목차
없음
본문내용
[시험과제 01] 우선순위 인코더(Priority Encoder) 설계
[수행 및 제출(1)]
8x3 우선순위 인코더의 진리표를 작성 및 완성하시오.
[수행 및 제출(2)]
앞의 진리표중 하나를 이용하여 우선순위 인코더를 VHDL로 설계하시오. [단, if~end if 구문을 사용]
library ieee;
use ieee.std_logic_1164.all;
entity incoder is
port ( D : in std_logic_vector(7 downto 0);
V : out std_logic;
x : out std_logic_vector(2 downto 0) );
end incoder;
architecture design of incoder is
begin
process(D)
begin
if D(7) = '1' then V <= '1'; x <= "111";
elsif D(6) = '1' then V <= '1'; x <= "110";
elsif D(5) = '1' then V <= '1'; x <= "101";
elsif D(4) = '1' then V <= '1'; x <= "100";
elsif D(3) = '1' then V <= '1'; x <= "011";
elsif D(2) = '1' then V <= '1'; x <= "010";
elsif D(1) = '1' then V <= '1'; x <= "001";
elsif D(0) = '1' then V <= '1'; x <= "000";
else V <= '0'; x <= "XXX";
참고 자료
없음