• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

논리회로및실험 레포트

임금님
개인인증판매자스토어
최초 등록일
2024.07.14
최종 저작일
2018.03
15페이지/ MS 워드
가격 1,000원 할인쿠폰받기
다운로드
장바구니

목차

1. 실습목표
2. 실습내용
3. 실습결과
4. 고찰

본문내용

1. 실습목표:
1) HBE-Combo II-DLD Kit의 부품 중 7개를 조사하고 이해한다.
2) Quartus II 프로그램을 설치 해본다.

2. 실습내용:
1. Clock Control Block
1) 구성
사용자가 원하는 클럭을 간단한 스위치의 조작으로 입력 받아 사용할 수 있습니다. 사용자는 Clock Control Switch를 이용하 여 16개의 클럭을 선택할 수 있습니다. Clock Control Block의 구성은 50 MHz의 오실레 이터와 표시 부, 클럭 제어부의 3부분으로 구성하고 있습니다.
오실레이터 부는 전원 공급으로 주파수를 생성하는 장치로 장비에서 필요한 클럭을 생성하고 있습니다. 표시 부는 7-Segment와 LED로 구성하고 있고, 7-Segment에서는 주 파수 값이 LED에는 주파수 대역(MHz, KHz, Hz)을 표시하게 됩니다. 클럭 제어부는 오 실레이터에서 나오는 값을 클럭 제어 스위치에 따라 16분주하여 FPGA 디바이스 모듈 로 전달하는 역할을 하고 있습니다.

2) 동작
장비에서 사용하는 클럭은 기본으로 Base board 에 있는 50 MHz를 가지고 사용하게 됩 니다. 따라서 클럭 제어 스위치를 조절하면 7-Segment에 현재 FPGA 디바이스 모듈로 공급되는 클럭 값이 표시되고 오른 쪽에 있는 LED를 통해 현재 주파수 대역을 확인할 수 있습니다.
이 스위치를 조절하여 0 Hz ~ 50 MHz 의 분주된 클럭의 값을 FPGA 디바이스 모듈로 전달되게 됩니다. 또한 FPGA 디바이스 모듈에는 별도로 오실레이터를 꼽아 사용할 수 있는 소켓을 구성해 놓았습니다. 이 소켓에는 사용자가 원하는 값을 가진 오실레이터 를 장착해서 사용할 수 있습니다. 따라서 이 자리에 오실레이터를 장착하고 User Clk EN 스위치를 On 하여 사용하면 됩니다.

참고 자료

없음
임금님
판매자 유형Gold개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 논리회로및실험 레포트 4페이지
    논리회로및실험 예비레포트20000001 임0000000000학부1. ... ED%8A%B8-XNOR-%EA%B2%8C%EC%9D%B4%ED%8A%B8논리회로및실험 ... 구현한 디지털 논리 회로의 일종으로, [그림]의 표준 논리 기호에 나타난
  • 논리회로및실험 레포트 6페이지
    논리회로및실험 예비레포트20000001 임0000000000학부목표: - ... 2-Verilog-HDL-문법 [just enjoy everything]논리회로및실험 ... 결과레포트학번:00000000이름:0001.
  • 논리회로및실험 레포트 4페이지
    논리회로및실험 결과레포트20000001 임0000000000학부1.
  • 논리회로실험(VHDL 및 FPGA실습) 이론 및 실험결과 레포트 53페이지
    PurposeXilinx프로그램과 VHDL code를 이용해 기초적인 조합논리회로와 ... Sources & Results① Test 1 - 기초 조합논리회로1) VHDL ... adder전가산기(Full adder)는 기본적으로 1비트의 2진수 3개를 더하는 논리회로이며
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서3 7페이지
    작성하였음을 서약합니다.학 부: 전자공학부제출일:과목명: 논리회로실험교수명 ... 실험이론- 논리 회로에서의 연산: 디지털 컴퓨터들은 다양한 정보처리 작업을 ... 및 예상 결과실험1)실험 과정: 먼저 IC를 IC Tester를 통해 정상인지
더보기
최근 본 자료더보기
탑툰 이벤트
논리회로및실험 레포트
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:52 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대