• 파일시티 이벤트
  • 유니스터디 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

verilog hdl을 이용한 fsm(final state machine)

*준*
개인인증판매자스토어
최초 등록일
2005.01.13
최종 저작일
2005.01
4페이지/ 한컴오피스
가격 3,000원 할인쿠폰받기
다운로드
장바구니

소개글

HDL 언어를 이용하여 학교 숙제등을 하시는 분이
참조하시면 좋은 자료가 될 것 같네요. 제가 했던
설계들을 정리해 놓았습니다.
verilog로 짠 final state machine을 정리해 놓은
워드 파일입니다. 워드에 있는 소스를 긁어다가
붙이시면 active hdl 및 model sim 등 모든 hdl
지원 프로그램에서 동작합니다.
좋은 구매 평가를 받은 자료 입니다. 참고하세요~!

컴파일 실행환경

1.Block Diagram
(파워포인트로 구성)

2.신호 분석 및 설명
test bench source 포함

3.각 시간에 따른 동작설명
(그림 포함)

4.소스 분석
(소스 코드 포함)

본문내용

동작설명
1.reset이 1이므로 state를 초기화
2.입력이 0->1->0->1 순서대로 들어올 때 state가 0->1->2->3으로 차례로 넘어가는 동작을 보여줌. state 3상태에서 입력이 1이므로 state2로 향하면서 out값을 1로 출력함.
3.입력이 0->1이 들어오므로 state3에서 state2로 향하면서 out값을 1로 출력함.
4.state2에서 입력이 1이므로 state 0으로 진행
5.state0 상태에서 입력이 1이므로 1clock 동안 현재 상태를 반복하다가 입력이 0이 들어오면서 state1로 진행
6.state1 상태에서 입력이 0이므로 1clock 동안 현재 상태를 반복하다가 입력이 1이 들어오면서 state2로 진행
7.state2상태에서 입력이 0이므로 state3으로 진행하고, state3 상태에서 입력이 0이므로 state 1로 진행
8.state1 상태에서 reset이 걸리므로 초기화 되며 state0으로 진행
9.reset 후 입력이 0->1->0->1 순차적으로 들어올 때 state가 순차적으로 진행되는 것을 보여줌, state3에서 입력이 1이기에0 state2로 향하면서 out 값을 1로 출력함

참고 자료

없음

프로그램소스 연관자료

자료후기(2)

*준*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우
최근 본 자료더보기
유니스터디 이벤트
verilog hdl을 이용한 fsm(final state machine)
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:20 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대