• LF몰 이벤트
  • 유니스터디 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL을 사용하여 32비트 MIPS 프로세서를 설계

*재*
개인인증판매자스토어
최초 등록일
2007.01.19
최종 저작일
2006.12
64페이지/ 한컴오피스
가격 2,000원 할인쿠폰받기
다운로드
장바구니

소개글

VHDL을 이용하여 32비트 다중 사이클 MIPS 프로세서를 설계하였습니다.
총 64페이지의 분량을 가지고 있으며, Hennessy 저자의 마이크로프로세서 및 컴퓨터 구조 책을 기반으로 작성하였습니다. 추가로 하버드 아키텍쳐 및 각종 연산자 그리고 ALU에 CLA Adder와 Booth 알고리즘을 사용한 곱셈기를 구현하였습니다. 기본만 필요하신 분은 삭제가 가능합니다. MIPS 프로세서 설계에 대한 최종 완성본이라고 생각하시면 됩니다. 기초부터 자세히 설명한 레포트입니다. 각종 표와 차트 그리고 시뮬레이션에 고찰까지 완벽합니다. 참고로 A+ 맞은 레포트입니다. ( 각종 참고자료와 소스코드 그리고 시뮬레이션 결과가 자세한 설명과 함께 포함되어있습니다. )

목차

1. 목적 및 개선 사항
2. 구현된 명령어 집합
3. 컴포넌트 소스 및 분석
4. 어셈블리 언어 변환 문제
5. 고 찰

본문내용

마이크로프로세서 교재 한글판 374페이지에 나와 있는 유한 상태 다이어그램에서는 기본적인 MIPS 명령어들 밖에 지원하지 않고, 하버드 아키텍처를 만들기 위해서는 새로운 유한 상태 다이어그램이 필요하다고 느꼈습니다. 또한 addi나 subi 같은 명령어는 자주 사용되는 명령어임에도 불구하고, 구현되지 않았음을 볼 수 있었습니다. 그래서 위의 컨트롤 신호에 따른 동작 차트와 아래의 그림을 참고하여 ALUOp 부분과 ALUSrcB 부분을 최적화하여, 분기 명령어도 구동가능하게 하였으며, 메모리 관련 신호 부분에서 명령어와 관련된 것은“I_”로 시작하고 데이터와 관계된 메모리는“D_”로 시작하는 단어로 변경하였습니다.

R-format 은 Execution 단계인 ALU에서 많은 지연이 일어나기 때문에, ALU에 사용되는 컴포넌트를 통합하여 데이터 패스를 줄이고, Carry 지연을 일으키는 Ripple Carry Adder를 Carry Look-Ahead Adder로 바꾸고 새롭게 게이트 연산들과 Booth 알고리즘을 사용한 곱셈기를 추가하였습니다.

다음으로 생각한 개선 방향은 MIPS 프로세서 동작 과정에서의 지연을 최대한 줄이기 위해 블록간의 데이터 이동에 사용되는 데이터 패스를 최대한 짧게 하는 것이었습니다. 이를 위해 시뮬레이션을 위한 출력 포트에 사용되는 신호선(signal)들을 대부분 제거하였고, 불필요한 컴포넌트의 수를 최대한 줄이기 위해서 MUX와 레지스터 A, B 같은 보조적인 컴포넌트들은 메인 컴포넌트에 통합시켰습니다.

참고 자료

David A. Patterson and John L. Hennessy, Computer Organization & Design, 1999
컴퓨터 구조론 강의 노트 4장
마이크로프로세서 설계 강의 노트 5장

자료후기(1)

*재*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 임베디드 프로세서와 arm 19페이지
    기술 사용 VHDL사용하는 일반적인 CPU 설계와는 달리 회로를 그려가면서 ... 32bit RISC 프로세서 Big/Little Endian 모드 지원ARM ... architecture 32bit RISC 프로세서 명령어와 internal
  • 임베디드 시스템 26페이지
    , 16bit 마이크로프로세서 및 마이크로콘트롤러 사용 시스템 고기능화 기존의 ... 개조된 Linux kernel 임베디드 리눅스 출현 배경 H/W의 발전 32 ... 완전한 운영체제로 각종 응용 소프트웨어 수행 가능 PowerPC, ARM, MIPS
  • [전자회로] ARM7 에대해서 31페이지
    Bit RISC 프로세서: ARM7은 내부적으로 32Bit의 데이터 버스와 ... 일설에 의하면, ARM을 설계할 때, 요즘 CPU를 설계하는 방법처럼 VHDL등을 ... ARM 명령어의 특징① ARM7은 32Bit 코어이다.- 모든 명령어가 32Bit
최근 본 자료더보기
탑툰 이벤트
VHDL을 사용하여 32비트 MIPS 프로세서를 설계
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:02 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대