• 파일시티 이벤트
  • LF몰 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

디지털 공학 - 도어락 설계

*기*
개인인증판매자스토어
최초 등록일
2007.06.06
최종 저작일
2006.12
3페이지/ 압축파일
가격 1,500원 할인쿠폰받기
다운로드
장바구니

소개글

디지털 기본 논리 회로와 플립플롭 EEPROM을 이용하여 구현한 디지털 도어락

목차

■ 개 요
■ 내 용
● 입력부
● 비밀번호 비교부분
● FND 디스플레이 부분
● EPROM HEX값
● 경보기
■ 블록도
■ 결론 및 고찰

본문내용

D-F/F과 비교기, EPROM을 이용한 디스플레이, 2음경보기를 이용해 자신이 설정해 놓은 비밀번호를 맞추면 문이 열리는 일종의 Door lock를 구현해 보았다.

4핀 DIP S/W를 이용해서 비밀번호 설정부와 입력부를 구성하였고 LED를 통해서 입력되는 값을 확인할 수 있다. Reset 스위치로 비밀번호를 초기화 시킨다. 비밀번호 입력후 최종적으로 설정된 값에 맞는지 확인하기 위해서 NAND 게이트 래치회로와 토글 스위치를 이용해서 클럭을 줌으로써 입력값이 올바른지 확인할 수 있다.
.
.
.

참고 자료

없음

압축파일 내 파일목록

회로도(1).hwp
회로도(2).hwp
회로도(3).hwp
보고서().hwp

자료후기(3)

*기*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 디지털시스템실험 최종프로젝트 16페이지
    전기전자공학부실험제목도어락설계실험목표1. 7sement를 활용하여 비밀번호를 ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학디지털 시스템 ... MOTOR로 도어락을 구현했다.- RESETN으로 도어락을 초기화시키는
  • ASIC설계 홈 오토맨션 43페이지
    - piezo로 open 알림 소리 기능- vfd (Door Lock Mode ... 참고문헌-Verilog HDL을 이용한 디지털시스템설계 및 실습-수업 강의 ... 설계과제 최종보고서교과목 명ASIC설계및실습책 임 자(팀장)성 명전 공학
  • 논리회로설계실험 프로젝트_digital door rock 44페이지
    이와 같은 디지털 도어록을 설계하기 위해서 기본적으로 필요한 개념이론들은 ... -Digital Doorlock 설계1. ... Digital Doorlock의 개요☞ 주변에서 흔히 볼 수 있는 디지털
  • [공학]인간공학적으로 설계한 샤워기 및 사례들 38페이지
    [그림 2-6] 기존의 도어락[그림 2-7] 최신 디지털 도어락 (인간공학적으로 ... 설계도어락)기존의 도어락에 비해 현재 디지털 도어락은 많은 장점들이 ... 디스플레이뱅크 simon@displaybank.co.kr2.3 사례3 : 도어락
  • Digital Door Lock System 디지털 도어락 도어 락 시스템 설계목표 발표서 19페이지
    Digital Door Lock System 전자공학설계 III 15 조Contents ... 저희 조는 디지털 회로를 이용하여 Door Lock설계하여 보고 구현하기 ... IC 를 이용한 Door Lock설계하기로 선정하였습니다 .2.
더보기
최근 본 자료더보기
탑툰 이벤트
디지털 공학 - 도어락 설계
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:11 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대