• 통큰쿠폰이벤트-통합

전가산기(회로, VHDL)

*정*
최초 등록일
2008.06.06
최종 저작일
2008.03
7페이지/ 한컴오피스
가격 1,500원 할인쿠폰받기
다운로드
장바구니

소개글

전가산기 입니다.
자세한 설명과 회로도와 VHDL이 있습니다.

목차

■ 문제 개요
■문제분석 및 풀이방법
■ 소스 및 주석
■ 실행화면
■ 느낀점 및 토론

본문내용

■ 문제 개요
전가산기(Full adder)를 maxplus2 프로그램에서 VHDL을 이용하여 회로도를 나타내고, 시뮬레이션 결과를 보여라.
■문제분석 및 풀이방법
디지털 회로를 사용하여 2진수를 더하기 위해서는 한꺼번에 3개의 입력값을 더하는 회로가 필요한데 이 회로를 전가산기(Full adder)라고 한다. 전가산기는 3개의 입력값을 더하여 합(sum)과 자리올림수(carry)의 출력이 발생한다.
▶전가산기(Full adder)의 논리식
논리식은 위의 K-map을 이용하면 쉽게 구할수 있다.
S = X`Y`Z + X`YZ` + XY`Z` + XYZ
C = XY + YZ + XZ
전가산기의 논리식은 이와 같다. 하지만 반가산기를 이용하여 전가산기를 설계할수도 있다. 먼저 다음과 같이 논리식을 변형한다.
S = X`Y`Z + X`YZ` + XY`Z` + XYZ
= (X`Y` + XY)Z + (X`Y + XY`)Z`
= (X⊕Y)`Z + (X⊕Y)Z`
= X⊕Y⊕Z

C = XY + YZ + XZ
= X`YZ + XY`Z + XYZ` + XYZ
= (X`Y + XY`)Z + XY(Z` + Z)
=(X⊕Y)Z + XY
따라서 전가산기는 2개의 반가산기와 1개의 OR게이트를 이용하여 완성할수 있다.

참고 자료

없음
*정*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 디지털 논리회로(디논), ModelSim을 이용한 VHDL설계 (4bit full adder, 4비트 전가산기) 7페이지
    이때 시그널 C는 전가산기의 캐리 출력을 받아 다음 비트 가산기의 입력이 ... Sum(2)에, 6ns일 때는 Cout에서 글리치가 발견되었다.1비트 전가산기를 ... nsX01011011Y01000010Cin11Carry out01010011마지막 비트 전가산
  • Full adder VHDL 실습보고서(전가산기) 11페이지
    목적(Purpose)이번실습에서는 4 bit Full adder(4비트 전가산기 ... 배경이론(Background)1)Full adder (전가산기)1비트의 2진수를 ... ci(x⊕y) +xy 로 구성된다. 4bit Full Adder(4비트 전가산
  • 임베디드 IoT 응용실험 - VHDL을 이용한 8-bit ALU 9페이지
    - 전가산기와 멀티플렉서로 이루어진 회로- 두 개의 입력 A, B와 출력 ... D가 존재- 가산, 감산, 증가, 감소 등의 8가지 기능* 논리연산 회로- ... VHDL을 사용하여 논리회로를 기술한다.2.
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(예비) / 2021년도(대면) / A+ 12페이지
    모델링 예 (Bit operator 사용)- 1-bit 반가산기 모델링 ... 예 (Gate primitive 사용)- 1-bit 반가산기 모델링 예 ( ... 학계에서 널리 사용된다.(2) Verilog 모델링 예시- 1-bit 반가산
  • 논리회로실험(VHDL 및 FPGA실습) 이론 및 실험결과 레포트 53페이지
    이 전가산기의 출력 S와 Co의 논리식을 X, Y, Ci로 나타내면 다음과 ... 7까지이며0은 +부호를, 1은 -부호를 나타낸다.2) Full adder전가산기 ... PurposeXilinx프로그램과 VHDL code를 이용해 기초적인 조합논리회로
더보기
최근 본 자료더보기
탑툰 이벤트
전가산기(회로, VHDL)
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:44 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대