• 통큰쿠폰이벤트-통합

VHDL을 이용한 디지털 시계 설계

*현*
개인인증판매자스토어
최초 등록일
2009.02.09
최종 저작일
2008.07
25페이지/ 압축파일
가격 3,000원 할인쿠폰받기
다운로드
장바구니

소개글

보고서 총 4부(총 75 페이지) + 각각의 소스파일 + 시뮬레이션 결과 그림파일
모델심을 이용하였으며,
총 4단계로 제작되었습니다.
1~3 단계는 시계 동작에 필요한 시간 생성, 시간 흐름, 날짜 흐름, 등의 블록을 만들었고,
마지막 4단계에서 지금까지 만든 블록을 하나로 합쳐서 최종적인 시뮬레이션을 진행하였습니다.
4개의 폴더에 각각의 소스 파일 및 레포트(HWP)파일이 저장되어있습니다.
모든 소스는 제가 직접 코딩하였습니다.( 프로젝트시 초기에 주어지는 기본 코딩 제외 )
또, 보고서에 상세한 주석 처리로 초보자 분들도 쉽게 알 수 있도록 되어있습니다.

*참고사항

본 레포트는 시뮬레이션까지만 진행된 것입니다.
하드웨어에 다운로딩하여 실제 구동되는 부분에 대해서는
정확한 답변을 드리기가 힘들 것 같습니다.

목차

1. Introduction
2. Problem Statement
① 실습과제
② 설계방법
3. Implementation
4. Result
5. Conclusion & Evaluation
6. Source Code (with suitable comments)

본문내용

1. Introduction
VHDL(VHSIC Hardware Description Language)을 이용하여 디지털 시계를 설계하기 위한 첫 번째 수행 단계로써 7-SEGMENT의 동작원리에 대해서 이해하고 VHDL을 이용한 7-SEGMENT 제어 방법을 익히는 것이 이번 실습의 목표입니다. 이 실습 과제를 통하여서 7-SEGMENT의 동작원리를 이해하며, 첫 번째 실습인 만큼 비교적 간단한 VHDL 설계를 통하여 앞으로 있을 복잡한 설계과정에 있어서 도움이 될 수 있는 기초적인 것들을 습득할 수 있을 것입니다.

2. Problem Statement
① 실습과제
BCD Code (Binary Coded Decial Code) 를 7-SEGMENT로 출력하는 것이 최종 목표입니다. 입력은 4bit의 이진수로 들어오고, 출력은 7bit의 이진수로써 7-SEGMENT를 동작시킵니다. 입력은 0~9사이에서만 발생하며 그 외의 값에 대해서는 0을 출력합니다. 또한 7-SEGMENT는 1을 출력 했을때 점등이 되는 캐소드 커먼형을 사용한다고 가정합니다.

② 설계방법
1. BCD코드에 따른 7-SEGMENT의 출력을 이해합니다. 7-SEGMENT의 각각의 LED에는 지정된 이진코드가 있으며 그 관계는 다음의 표와 같습니다.

2. VHDL 코딩에 있어서 설계해야 할 부분은 우선 입력 4bit에 따라서 출력 7bit를 어떠한 방식으로 할당할 것인가를 결정해야 하며, 적절한 방법을 결정한 후 Truth Table에 따라 출력을 인가하게 됩니다. 문제에서는 case문으로 지정되어 있으므로 case문을 이용하여 설계를 합니다.
3. 시뮬레이션에 있어서는 주어진 test bench를 이용합니다. 130ns까지 Run을 하여서 출력 파형을 관찰합니다. test bench에는 입력에 따른 출력값들이 설정되어 있으며 시뮬레이션 결과를 통하여 설계상의 오류를 찾아 낼 수 있습니다. 실습시간에 배운 시뮬레이션 모드로 전환, 파형추가, Run 등을 통하여 위의 과정들을 수행할 수 있습니다.

참고 자료

없음

압축파일 내 파일목록

프로젝트_1/led_dr.vhd
프로젝트_1/led_dr_tb.vhd
프로젝트_1/프로젝트_1.hwp
프로젝트_2/mode_gen.vhd
프로젝트_2/mode_gen_tb.vhd
프로젝트_2/프로젝트_2.hwp
프로젝트_3/date.vhd
프로젝트_3/date_tb.vhd
프로젝트_3/time.vhd
프로젝트_3/timer.vhd
프로젝트_3/timer_tb.vhd
프로젝트_3/time_tb.vhd
프로젝트_3/week.vhd
프로젝트_3/week_tb.vhd
프로젝트_3/프로젝트_3.hwp
프로젝트_4/date.vhd
프로젝트_4/dclock.vhd
프로젝트_4/dclock_tb.vhd
프로젝트_4/divider.vhd
프로젝트_4/divider_tb.vhd
프로젝트_4/led_dr.vhd
프로젝트_4/mode_gen.vhd
프로젝트_4/selector.vhd
프로젝트_4/selector_tb.vhd
프로젝트_4/time.vhd
프로젝트_4/timer.vhd
프로젝트_4/week.vhd
프로젝트_4/프로젝트_4.hwp
프로젝트_4/결과파형/divider_transcript.jpg
프로젝트_4/결과파형/divider_wave.jpg
프로젝트_4/결과파형/d_clock_transcript_1.jpg
프로젝트_4/결과파형/d_clock_transcript_2.jpg
프로젝트_4/결과파형/d_clock_transcript_3.jpg
프로젝트_4/결과파형/d_clock_wave_1.jpg
프로젝트_4/결과파형/d_clock_wave_2.jpg
프로젝트_4/결과파형/d_clock_wave_3.jpg
프로젝트_4/결과파형/selector_transcript.jpg
프로젝트_4/결과파형/selector_wave_1.jpg
프로젝트_4/결과파형/selector_wave_2.jpg
프로젝트_4/결과파형/selector_wave_3.jpg

자료후기(6)

6개 리뷰 평점
  • A+최고예요
    2
  • A좋아요
    4
  • B괜찮아요
    0
  • C아쉬워요
    0
  • D별로예요
    0
*현*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 디지털 시계 실습 vhdl 레포트 (12,60진 카운터) 19페이지
    이용한 코드출력 결과디지털 시계이론적 배경Vhdl이용디지털 시계를 ... HDL및 실습-component 문을 이용한 시계 설계-목차———————— ... 이용한 코드출력 결과디지털 시계-----------------------
  • 논리회로설계실험 - 디코더/엔코더 예비레포트 9페이지
    디지털 시계는 7 세그먼트 디스플레이에 세그먼트들의 조합을 이용하여 시간을 ... 목표디코더와 엔코더의 원리를 이해하고 3x8 디코더와 8x3 엔코더를 VHDL에서 ... 논리회로설계 실험 예비보고서 #4실험 4. 디코더& 엔코더 설계1.
  • VHDL을 이용한 디지털 시계 설계 20페이지
    introduction 이번 실습은 VHDL을 이용한 디지털 시계 설계로 ... 이번 실습 시간을 통해 디지털 시계의 원리를 이해하였으며, 시계 작성에도 ... 1) what your circuit does 디지털 시계 ● 시, 분
  • VHDL실습 디지털 시계 14페이지
    VHDL 및 실습디지털 시계 설계 및 시뮬레이션1.서론‘디지털시계‘ 라는 ... 위해 각 기능별로 회로를 설계했다.이것들을 이용해 본격적으로 디지털시계를 ... 보았다.우선, 디지털시계설계하기 위해서는 다음의 회로들을 설계해야 한다
  • VHDL을 이용한 디지털시계설계 31페이지
    VHDL을 이용한 디지털시계(Digital Watch)① 시간표시 기능(시 ... 전체적인 디지털시계설계 소개- VHDL 구문을 이용하여 디지털시계설계하는 ... VHDL을 이용한 디지털시계4. VHDL Code5.
더보기
최근 본 자료더보기
유니스터디 이벤트
VHDL을 이용한 디지털 시계 설계
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 08일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:40 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대