• 통큰쿠폰이벤트-통합
  • 통합검색(3,099)
  • 리포트(2,906)
  • 자기소개서(145)
  • 시험자료(24)
  • 방송통신대(9)
  • 논문(7)
  • 서식(5)
  • ppt테마(2)
  • 이력서(1)

"논리회로및실험" 검색결과 181-200 / 3,099건

  • 충북대 기초회로실험 논리게이트와 부울함수의 구현 예비
    논리게이트와 부울함수의 구현(예비보고서)실험 목적(1) AND, OR, NOT, NAND, NOR, XOR, XNOR의 논리함수 개념과 Gate의 구조 및 기능을 습득한다.(2) 부울 ... 대수를 사용한 논리회로의 표현방식 및 등가회로를 익힌다.이론(1) NOT(Inverter)NOT 게이트는 하나의 입력과 출력을 가지며, 논리적 부정연산을 행하는데 논리적 부정을 나타내는 ... 이용한 논리회로의 구현부울 함수는 기본 게이트들을 사용하여 구현 할 수 있다.예비과제(1) NOT, AND, OR, NAND, NOR 및 Exclusive-OR 게이트의 진리표를 작성하라.NOT
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10
  • 논리회로실험 반가산기 전가산기
    예비실험에서는 반가산기만 코드작성 및 논리회로도를 그렸는데 저번실험과 달리 동작적, 자료흐름 모델링만을 해보지 않고 이론으로 배운 구조적 모델링 방법과 Schematic 방법을 사용하여 ... 논리회로설계 실험 예비보고서 #2실험 2. 반가산기 & 전가산기1. ... NOT게이트를 사용하면 더 많은 논리회로들을 그릴 수 있으나 본 실험에는 세 개의 논리회로만 그려보았다.2) 소스 코드동작적 모델링자료 흐름 모델링구조적 모델링구조적 모델링 內 AND
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 실험7. 플립플롭 예비보고서
    실험방법 및 순서5.1 [그림 7-1]의 R-S 플립플롭 회로를 결선하고 [표 7-1], [표 7-2]와 같이 동작하는지 확인하고 그 측정값을 실험 결과 보고서의 [표 7-7]에 기록하라 ... 래치는 입력되는 순간 바로 반영하기 때문에 플립플롭처럼 엣지의 시점을 결정하는 논리회로가 없어도 되므로 래치의 논리회로가 간단하다. 플립플롭과 래치는 구조상 휘발성이다. ... 조합논리회로에 비해 플립플롭은 이전상태를 계속 유지하여 저장한다.
    리포트 | 4페이지 | 1,500원 | 등록일 2022.05.26
  • 건국대학교 전기전자기초실험1(전전기실1) 13주차 예비레포트+결과레포트(예레+결레)
    결과보고서 13주차실험 7-세그먼트(7-segment) 실험II의 회로에 7-세그먼트를 추가하여 다음 회로를 구성하고 사진을 첨부하시오...FILE:[Content_Types].xml ... a~g의 논리회로를 통합하여 구현하시오. ... 전기전자기초실험1 예비보고서 13주차분반학과이름학번1.
    리포트 | 24페이지 | 4,500원 | 등록일 2024.05.29
  • 연세대학교 공학대학원 ICT융합공학 전공 학업계획서
    저는 학부 시절에 기초아날로그실험, 데이터구조, 마이크로프로세서, 디지털전자회로, 임베디드시스템실험, 반도체집적소자회로, 디지털논리회로, 운영체제, 전자회로1,2 등의 수업을 수강하였습니다 ... 주요 업무는 모바일 OOO 개발 및 디바이스 소자 OO 개발입니다. ... 입학 후 학업계획저는 연세대학교 공학대학원 ICT융합공학 전공에 입학한 후에 영상신호처리특론, 공학도를위한서양철학, 광무선통신, 차세대통신특론, 안테나공학, 전자파응용공학, 방송통신회로설계
    자기소개서 | 1페이지 | 3,800원 | 등록일 2023.09.10
  • 임베디드 IoT 응용실험 - VHDL을 이용한 8-bit ALU
    → [FPGA 이용 방법은 기초회로실험_실험 12_P123 참고] Xilinx artix-7 계열 사용, 실행 여부 판단 후 반복, ppt 구상 및 틀 짜기, 중간 보고서 제출3주차 ... 과제명VHDL을 이용한 8-bit ALU 설계 및 검증과제 목적1. VHDL을 사용하여 논리회로를 기술한다.2. Vivado 환경에서 작업을 한다.3. ... 8가지 기능* 논리연산 회로- 게이트와 멀티플렉서로 구성- 각 게이트가 정해진 논리 연산을 수행하고 이 결과들 중에서 하나를 멀티플렉서로 선택하여 최종 출력값을 결정- AND, OR
    리포트 | 9페이지 | 3,000원 | 등록일 2022.04.14
  • 광운대학교 전기공학실험 M2. 아날로그 및 디지털 기초 회로 응용 예비레포트 [참고용]
    실험 명M2. 아날로그 및 디지털 기초 회로 응용2. ... 논리조합회로의 설계 실험에서 반가산기와 전가산기의 입력과 출력 사이의 관계를 진리표로부터 유도한 후 논리연산자의 연산 법칙을 이용해 최대한 간단히 정리하시오.6. 실험순서6-1. ... 직류회로에서의 측정 실험의 그림2 회로의 저항 양단의 전압 및 저항을 흐르는 전류의 크기를 KCL, KVL을 이용하여 계산하시오.2.
    리포트 | 8페이지 | 1,500원 | 등록일 2024.01.02
  • 실험2. 가산기 예비보고서
    기초이론2.1 가산기가산기란 덧셈 연산을 수행하는 논리 회로이며 디지털 회로, 조합 회로의 하나이다. ... 실험방법 및 순서5.1 예비보고에서 설계한대로 7400계열의 NAND 게이트들을 연결하여 반가산기를 구현하라. ... 실험 예비 보고(생략) 별도 첨부4. 실험기자재 및 부품4.1 사용기기■ 디지털 멀티미터■ 전원 공급기4.2 사용부품■ AND 게이트■ OR 게이트■ NAND 게이트■ 인버터5.
    리포트 | 5페이지 | 1,500원 | 등록일 2022.05.26
  • 충북대 기초회로실험 논리게이트와 부울함수의 구현 결과
    (a)ABY[V]000.00175015.09105.09110.000526(b)ABY[V]005.04010.0619100.0236115,04비고 및 고찰이번 실험은 몇 가지 논리게이트를 ... 이번 실험을 통해 1학기 때 디지털 공학에서 배운 논리게이트들의 기능이 실제 회로 상에서도 적용된다는 것을 확인해볼 수 있었다. ... 논리게이트와 부울함수의 구현(결과보고서)실험 결과(1) SN 7408로 회로를 결선하고, 1) B = 0, 2) B = 1, 3) B = open 상태에 대하여 진리표를 작성하라.
    리포트 | 2페이지 | 1,000원 | 등록일 2021.09.10
  • 디지털 회로 실험-디코더와 인코더
    액티브 되게 하는 논리회로를 말한다. ... 밑에 그림은 2x4 디코더의 논리회로와 진리표이다.3. ... 토론(실험 내용 요약, 결과와 이론 비교, 실험결과 및 느낀 점 등)1) 실험 내용 요약 : 디코더와 인코더의 동작원리를 이해하고 디코더와 인코더의 입력에 따른 출력을 예상해보고 결과를
    리포트 | 10페이지 | 2,000원 | 등록일 2022.09.10
  • 홍익대학교 전전 실험1 기본논리게이트 결과보고서
    실험1 기본논리게이트 결과보고서실험 제목 : 기본논리게이트목적 : 기본논리게이트인 NOT, AND, OR, NAND, NOR, XOR 게이트의 동작 특성 및 응용에 대하여 살펴본다.결과 ... *************0011011111000(2) NAND 및 NOR 게이트의 응용 (단위: V)입력출력AB회록(f)회로(g)전압논리전압논리0000011010101111(3) 다른 ... 소자에 의한 XOR 게이트의 구성 (단위: V)입력출력AB회로(h)회로(i)회로(j)전압논리전압논리전압논리00000011111011111000(4) 패리티 확인회로 (단위: V)입력X1의
    리포트 | 2페이지 | 1,000원 | 등록일 2020.12.25 | 수정일 2021.03.05
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 9차 예비보고서
    아날로그 및 디지털 회로 설계 실습예비보고서설계실습 9. 4-bit Adder 회로 설계소속전자전기공학부학수번호실험 조x조조원 이름작성자실험날짜2023.11.16제출날짜2023.11.161 ... 실습 목적조합논리회로의 설계 방법을 이해하고 조합논리회로의 한 예로 가산기 회로를 설계한다.2. ... S의 2-level 회로 의 2-level 회로(D) XOR gate 를 이용하여 보다 간소화된 다단계 조합 논리 회로를 설계한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2024.02.17
  • A+ 중앙대 아날로그및디지털회로설계실습(결과)7. 논리함수와 게이트 할인자료
    설계실습 내용 및 분석7-4-1 설계한 논리게이트 구현 및 동작(A) Low(0) 값, High(1) 값, Vcc를 각각 0V, 5V, 5V로 설정한다. ... 중앙대학교 전자전기공학부, “아날로그 및 디지털 회로 설계 실습”, pp 55-60 ... 논리함수와 게이트(학생이름, 학번, 학수번호, 실험조의 번호, 실험조원의 이름, 실험날짜, 제출날짜)요약 : 전자전기장비에 많이 사용되는 bandpass filter를 R,L,C를
    리포트 | 5페이지 | 1,000원 (10%↓) 900원 | 등록일 2022.09.10
  • [전자전기컴퓨터설계실험2] A+ 서울시립대학교 전전설2 1주차 예비+결과(코드포함) TTL_gates_Lab_on_Breadboard
    비교 및 이해한다.나. ... 6)실험 유의사항: 실험 회로를 꾸밀 때에는 장비의 전원을 OFF한다. ... 실험목표1) OR, XOR 게이트의 동작을 이해하고, 직접 회로를 구성하여 동작 결과를 확인한다.2) 논리 게이트를 적절히 활용하여 반가산기를 구현하여, 반가산기의 진리표와 실제동작을
    리포트 | 7페이지 | 2,000원 | 등록일 2021.03.26 | 수정일 2021.06.18
  • 충북대 기초회로실험 4-비트 산술논리회로 예비
    시뮬레이션 결과를 인쇄하라.(6) 실험 3의 심볼을 이용하여 의 1비트 논리 연산회로를 그리고 시뮬레이션을 한 다음 심볼화 하라.(7) 실험 6의 심볼을 이용하여 의 4비트 논리 연산회로를 ... BXOR11E =bar{A}보수예비과제(1) 본 실험책의 부록을 참고하여 MyCAD 사용을 익히고, 2x4 decoder에 대한 회로도와 시뮬레이션 결과 및 심볼을 프린팅하시오.(2 ... 실험 12. 4-비트 산술논리회로(예비보고서)실험 목적(1) ALU (Arithmetic Logic Unit)의 기능과 구조를 이해한다.(2) MyCAD의 사용법을 익힌다.(3) MyCAD를
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10 | 수정일 2021.09.15
  • 폴리텍대학교 NOT OR 게이트 실험결과보고서 할인자료
    실험목적기본적인 논리게이트 NOT OR의 회로를 구성한 것을 바탕으로 표를 작성해보며 논리표현방법을 익힌다.2. ... 입력값이 모두 거짓일 때만 출력이 거짓이 된다.입력측정전압 : 05.014V입력출력ABC000.002V015.014V105.014V115.014V3.실험결과 및 고찰이번실험 결과는 ... 동작 특성에 대하여 잘 이해할 수 있게 되엇고 실험에 필요한 장비들의 용도와 브레드보드에 논리회로를 직접 구성해보며 논리회로 시간에 학습했었던 내용을 다시 확인할 수 있었다.
    리포트 | 2페이지 | 2,000원 (10%↓) 1800원 | 등록일 2022.05.05
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(결과) / 2021년도(대면) / A+
    실험 이론(1) 조합 논리 회로- 논리 곱(AND), 논리 합(OR), 논리 부정(NOT)의 세가지 기본 회로를 조합하여 구성한 논리 회로- 출력이 입력에 의해 결정됨.- 논리 게이트로만 ... IT CookBook, 디지털 논리회로. 조합논리회로. ... 실험의 목적Verilog HDL 언어를 사용하여 Combinational Logic을 설계 및 실험(Encoder/Decoder, Mux/Demux 등)하고, 설계한 로직을 시뮬레이션하기
    리포트 | 19페이지 | 2,000원 | 등록일 2022.07.16
  • 광운대학교 전기공학실험 실험8. 숫자표시기와 응용 결과레포트 [참고용]
    참고문헌- 전기공학실험 서적: 이론 및 그림 참고- https://www.youtube.com/watch?v=oIQw_bOCLNk:7세그먼트 디코더 구동방식, 종류 참고 ... 단자: 명칭 및 역할:1) LT(Lamp Test): 입력단자, LOW 시 7개 모두 점등 > 접속 및 밝기 점검2) RBI(Ripple Blanking Input): LOW 시 입력이 ... 실험순서(1) 예비보고서 (1)항에 해당하는 회로를 7400 NAND gate IC만을 사용하여 구현하고 테스트를 통해 그 입출력 사이의 진리표를 작성하라.ABO0O1O2O3예상값측정값오차예상값측정값오차예상값측정값오차예상값측정값오차0
    리포트 | 9페이지 | 1,500원 | 등록일 2024.01.02
  • 순차검출기와 32x8 sram verilog 설계
    다양한 조건을 가진 순차회로를 설계함으로써 순차논리회로의 설계 과정을 깊이 이해한다.Verilog설계- 순차 검출기의 상태도- Verilog 코드 기술SD.vmodule SD(stepCLK ... 제목 - 실험 결과 보고서실습 목적앞에서 실습한 순차회로 설계는 상태가 천이하는 조건이 단순했는데, 입력이 많아지고 조건이 복잡해지면 상태 천이 조건도 복잡해진다.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.12.19
  • 7세그먼트FND디코더 verilog 설계
    제목7-세그먼트 FND 디코더 설계실습 목적하나의 7-세그먼트 FND는 한 자리의 16진수를 출력할 수 있다. FPGA에서 한 자리의 16진수는 4비트에 저장되며, 7-세그먼트에 출력하려면 디코딩해야한다. 이 실습에서는 스위치 입력으로 저장된 0x0~0xF사이의 한 자..
    리포트 | 4페이지 | 2,000원 | 등록일 2020.12.19
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:35 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대