• 통큰쿠폰이벤트-통합
  • 통합검색(11,766)
  • 리포트(11,032)
  • 자기소개서(366)
  • 시험자료(164)
  • 방송통신대(146)
  • 논문(48)
  • 서식(7)
  • 표지/속지(1)
  • ppt테마(1)
  • 노하우(1)

"디지털실험 3결과" 검색결과 181-200 / 11,766건

  • R, L, C 소자의 이해 결과보고서
    회로실험 결과보고서R, L, C 소자의 이해실험 제목R, L, C 소자의 이해실험 과정기구디지털 멀티미터(HP 34401A) 1대저항: 1[kΩ], 10[kΩ] 각 10개과정디지털 ... 오차 값이 매우 작기 때문에 실험을 하는데는 큰 문제는 없을 것이라 생각한다.회로실험I - 결과보고서 ... 사용된 1[kΩ] 저항의 색띠는 brown/black/red/gold이다.색명표시 수색명표시 수black0blue6brown1violet7red2gray8orange3white9yellow4gold5
    리포트 | 3페이지 | 1,000원 | 등록일 2021.04.03 | 수정일 2021.04.20
  • 아날로그 및 디지털회로설계실습 실습7(논리함수와 게이트) 결과보고서
    이번학기 실험에서 아날로그 실험만 하다가 처음으로 디지털회로 실험을 하였는데 디지털회로라서 그런지 오차가 거의 없어서 좋았다.7-5-4 무엇을 느꼈는가? ... 디지털 회로 실험이라 그런지 오차가 평균 0.133%밖에 차이 나지 않아서 실험이 잘 되었다. ... 디지털 회로 실험이라 그런지 오차가 평균 0.133%밖에 차이 나지 않았고 회로도를 구성하는 것도 어렵지 않아서 실험이 잘 되었다.
    리포트 | 8페이지 | 1,000원 | 등록일 2020.09.24
  • 선팽창계수 결과보고서(점수 A+ / 정량적 논의 및 표 상세)
    따라서, 실험 결과 측정된 선팽창계수의 값이 본래의 참값보다 큰 이유는 금속 시료의 산화로 인한 오차 때문이다.(2) 실험자의 기술적인 한계로 인한 오차실험의 과정과 결과는 온전히 ... 더 정확한 실험 결과 값을 도출했음을 알 수 있다. ... 온도가 증가함에 따라 디지털 게이지의 눈금도 변한다. 디지털 게이지의 수치가 멈춘 순간, 온도를 기록해야 선팽창계수의 값을 구할 수 있다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.05.28
  • 아주대학교 기계공학기초실험 - Labview를 이용한 신호의 입,출력 및 변환
    실험 목적2. 실험 이론3. 실험 장치4. 실험 방법5. 실험 결과6. 실험 고찰7. 결 론1. ... 실험 결과실험 1. DAQ 디바이스를 이용한 아날로그 및 디지털 신호의 입,출력아날로그 신호와 디지털 신호의 입, 출력을 위해 위의 그림1과 같이 Labview를 설정했다. ... - 결과 보고서 -Labview를 이용한 신호의 입,출력 및 변환과목명 : 기계 공학 기초실험제출일:실험일자:실 험 조 명 :책임 수행자 :공동 수행자 :형 식/ 10이 론/ 10장
    리포트 | 10페이지 | 1,500원 | 등록일 2019.10.12
  • 서강대학교 21년도 디지털논리회로실험 2주차 보고서 (A+자료) - Logic Gates, FPGA
    전압step3의 결과와 비교해보았을 때, 확연히 차이가 있는 것은 입력이 high일 때의 출력 전압값이다. ... 디지털논리회로실험 2주차 실험 보고서목적- TTL logic gates의 동작 방법을 익히고, Logic level과 noise margins, fanout에 대해 이해한다.- Gates를 ... 실험결과의 step10에서 설명했다시피, 출력 단자끼리 연결을 해버리면 과전류가 흐를 수 있기 때문이다.실험에서 사용된 74LS00과 74LS03은 논리 gate와 핀 번호 등이 일치하지만
    리포트 | 20페이지 | 2,000원 | 등록일 2022.09.18
  • 이화여대 교육대학원 교육공학HRD전공 학업계획서
    및 데이터 수집- 연구 주제에 따라 실험을 설계하고, 학습자들의 데이터를 수집하여 분석합니다.- 실험 환경 구축, 실험 대상 선정, 데이터 수집 방법 등을 신중하게 계획합니다.3) ... 연구 계획1) 문헌 조사 및 이론적 배경 연구- 관련 연구와 최신 동향을 조사하고, 교육 분야에서 디지털 기술을 활용한 혁신적인 방법에 대한 이론적 배경을 탐구합니다.2) 실험 설계 ... 평가 및 논문 작성- 개발한 모델이나 도구를 실제 교육 현장에서 적용하고, 그 결과를 평가합니다.- 연구 결과를 학회나 학술지에 논문 형태로 발표하고 공유하여 학계와 교육 현장에
    자기소개서 | 6페이지 | 5,000원 | 등록일 2023.06.16
  • (A+) 일반물리학실험2 전자기기사용법(1)
    DMM(Digital Multimeter), Function generator, DC Power supply실험 방법실험 1) 직류 전압 측정DC Power supply의 출력 전압을 ... ±0.25ms50Hz100Hz1ms1010.0±0.1ms100Hz200Hz500us105.0±0.05ms200Hz500Hz250us82.00±0.025ms500Hz실험 결과실험 1) ... 대한 논의실험 1의 경우 실험 2, 3보다 오차가 크게 나타났음을 확인할 수 있다.
    리포트 | 7페이지 | 4,000원 | 등록일 2024.03.09
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(결과) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어의 기본 사용법을 익히고 디지털 논리회로를 설계하는 여러 가지 방법론을 학습한다. ... Digital Design with an Introducton to the Verilog HDL 5thedition3) 연세대학교 정보통신용 SoC설계연구실 Verilog 문법 교안4 ... 실험 장비 및 재료가. 실험 장비HBE Combo-II SE3.
    리포트 | 19페이지 | 2,000원 | 등록일 2022.07.16
  • 뉴턴 제 2법칙 실험 보고서
    측정이 성공적으로 진행되었다면 시간에 따른 힘의 변화에 대한 표와 속도-시간 그래프가 얻어질 것이다.3. 실험 결과실험1. push and pull실험2. ... 측정 결과로 얻어진 힘-가속도 그래프에서 Linear fit을 통하여 실험적으로 질량을 측정한다. ... 운동센서의 입체 음향 플러그를 인터페이스 장치의 디지털 채널1과 2에 연결시킨다. 노란색 플러그는 디 지털 채널 1 단자에 검은색 플러그는 디지털 채널 2 단자에 연결시킨다.
    리포트 | 7페이지 | 1,000원 | 등록일 2022.07.22
  • 기초실험1 7 segment counter 결과보고서(틴커캐드)
    (10)1011(11)1100(12)1101(13)1110(14)1111(15)실험결과, 0부터 9까지 입력되는 이진수와 대응되는 10진수가 7 segment LED에 디지털 숫자 ... 결과보고서학 과학 년학 번조성 명전자공학과실험 제목7Segment_Counter실험 결과1. 7 segment: 7 segment decoder와 7 segment LED를 연결해 ... 따라서 오실로스코프로 확인한 결과는 타당하다고 할 수 있다.위 결과에서 clock의 falling edge 직전의 값인하면, Q0=, Q1=, Q2=, Q3=으로 생각해 값을 계산하면
    리포트 | 8페이지 | 1,000원 | 등록일 2023.03.12 | 수정일 2023.11.29
  • 전기및디지털회로실험 실험M3 예비보고서
    전기및디지털회로실험예비레포트담당교수 :학과 :학번 :이름 :목차실험 명2실험 개요2이론 조사2실험 기기2예비보고서 문제풀이2실험 순서3참고 문헌6실험실험 M3. ... 디지털 출력 핀을 선정한 후 숫자표시기 1개를 구동할 수 있는 회로를 구성한다.(3) 입력된 전압 값을 소수점 첫째 자리에서 반올림한 후, 그 숫자를 숫자표시기에 표시하는 프로그램을 ... LOW);digitalWrite (E,LOW);digitalWrite (F,LOW);digitalWrite (G,LOW);}}(4) 컴파일 및 업로드한 후 포텐셔미털를 조절해가며 그 결과
    리포트 | 6페이지 | 1,000원 | 등록일 2023.06.30
  • 디지털 회로 실험 및 설계 - JK Flip Flop, D, T Flip Flop 실험 1
    디지털회로실험및설계 예비 보고서 #3( JK Flip-Flop 실험, D, T Flip-Flop 실험 )과 목담당교수제 출 일학 번이 름1. ... ) 다음 회로도를 구성하고, 표를 완성하시오.실험3 회로도실험3 시뮬레이션JKQQ'0*************101110? ... PSpice 시뮬레이션 회로도 및 결과?
    리포트 | 14페이지 | 3,000원 | 등록일 2023.09.22
  • 디지털시스템실험 - data path 및 컴퓨터시스템 설계 결과보고서
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서디지털 시스템 설계 및 실험 2016 전기전자공학부이름 :학번 :실험제목Simple ... DATAPATH를 설계 및 구현하고 검증한다.실험결과코드설명은 밑줄 표시했습니다.1. ... Computer - Data Path실험목표1.
    리포트 | 7페이지 | 1,500원 | 등록일 2020.11.14
  • 12주차-실험23 결과 - ADDA 변환기
    R/W=0일 때 TM기 모드가 활성화되어 외부 디바이스에서 아날로그 신호를 얻을 수 있습니다.이번 실험실험 (4)와 실험 (5) 모두 결과 값이 이론값과 비슷하게 나왔습니다. ... 자리 수 에 가중치를 줘서 출력 전압(Analog)값에 변화를 줄 수 있고, 그 analog에서 digital로 바꿀 때에는 일단 변환한 뒤, 디지털 값과 비교하는 방법을 사용하여 ... +S _{0} {1} over {2 ^{n}} ) 공식을 이용해서 구할 수 있습니다.실험 5의 회로는 전압 구동형 D/A 변환회로로, 디지털신호를 아날로그로 변환하는 기능을 합니다.V3
    리포트 | 4페이지 | 1,500원 | 등록일 2020.10.02
  • 교류및전자회로실험 실험2_TIMER 기초 결과보고서
    실험 결과- 타이머를 이용한 LED 제어(1) 아두이노 우노 보드 디지털 output 핀 중 하나에, 출력이 OFF 이면 LED가 켜지고, 출력이 ON 이면 LED가 꺼지도록 회로를 ... 교류및전자회로실험결과레포트담당교수:학과:학번:이름:목차실험 명2실험 개요2실험 결과2결과 보고서6실험 고찰12실험실험 2. TIMER 기초2. ... 실험 개요아두이노에서 지원하는 타이머 기능과 관련된 기초적인 실험을 해보고 작동 원리를 이해 한 후 멀티태스킹을 위한 응용 방법에 관해 학습한다.3.
    리포트 | 12페이지 | 1,000원 | 등록일 2024.08.17
  • 계명대 등가속도 운동 실험 보고서 할인자료
    실험 결과5도10도15도실험 번호초기 속도각도sin(세타)g*sin(세타)mA오차1-1.8450.08715570.854126280.8350.4012.239282-1.7150.08715570.854126280.8530.40.13186333 ... 실행한다.3) 하드웨어 설정 창 안의 Pasco 550 universal interface 그림의 디지털 채널을 클릭하여 셍서 설정 창을 열고 운동 센서를 선택한다.4) 디스플레이 ... 생각해보기1) 주변에서 볼 수 있는 등가속도 운동의 예를 3가지 이상 들고 설명하시오.제기차기야구공 던지기공차기2) 이 실험에서 가속도는 경사각도에 어떻게 의존하는가?
    리포트 | 3페이지 | 2,000원 (10%↓) 1800원 | 등록일 2022.05.05
  • "Labview Programming 실습2 결과보고서"
    그리고 [측정 파일에 쓰기]에서 설정된 파일경로와 파일이름으로 프로그램을 실행하고 정지할 때 마다 실험데이터 값이 저장된다.임의의 조건을 가진 3번의 실험결과에서 [신호 시뮬레이션] ... 실험 결과실습5: 아날로그 입출력 실습 – DAQ AI 사용※주파수: 5Hz, 진폭: 1, 오프셋: 1※주파수: 3Hz, 진폭: 1, 오프셋: 1※주파수: 8Hz, 진폭: 1, 오프셋 ... - 실험 결과 보고서 -실험 제목: Labview programming 실습 2과목명 : 기계 공학 기초실험제출일: 2020년 12 월 03 일실험일자: 2020년 11 월 27 일실
    리포트 | 12페이지 | 1,000원 | 등록일 2021.02.03
  • A+ 중앙대 아날로그및디지털회로설계실습(결과)7. 논리함수와 게이트 할인자료
    (이 실험의 중요도, 필요성 등을 서술한다.)디지털 신호를 처리하기 위한 게이트, 그리고 이것을 이용한 회로는 디지털 연산의 가장 기본이 되며 중요한 부분이다. ... NAND 게이트만 사용하여 AND, OR, NOT, nand3 게이트의 등가회로를 구성해보았고, input과 output을 확인한 결과 진리표와 동일한 결과가 나왔다. and 게이트 ... 그 결과실험치와 비교한 결과2%이하의 오차로 잘 일치하는 것을 확인하였다.
    리포트 | 5페이지 | 1,000원 (10%↓) 900원 | 등록일 2022.09.10
  • [기계공학실험]압력 계측
    사진3 은 디지털 압력계 설치방법을 보여준다.5. 실험 결과가. ... 그 뒤 열 변화를 가하고 위 식을 이용해P _{2}의 계산값과 측정값을 얻어낼 수 있다.3. 실험 기구 및 장치가. 실험 설계도나. ... 또한 더욱 정확한 실험을 하기 위해서는 센서식 압력계를 현재P _{2} 부위에 연결하는 것 이 더욱 확실한 실험결과를 낼 수 있다.그리고 PE압력계이지도 상당히 상승하였는데 사실은
    리포트 | 4페이지 | 1,500원 | 등록일 2023.04.14
  • 충돌-충격량과 운동량
    힘 센서의 자석 범퍼를 완충 스프링으로 교환한 후, 기본위치에서 10에서 14의 과정을 반복하라.3. 실험 결과자석용수철13. 실험 결과용수철2표4. 질문과 답1. ... 힘 센서는 후크 나사를 제거하고 대신 자석 범퍼를 설치한다.Part3: 실험 진행10. ... 운동 센서의 입체 음향 플러그를 인터페이스의 디지털 채널 1과 2에 연결하라. 디지털 채널 1에 노란색 플러그를 연결하고, 디지털 채널 2에 검은색 플러그를 연결한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2022.07.22
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:41 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대