• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,098)
  • 리포트(1,875)
  • 자기소개서(182)
  • 논문(21)
  • 시험자료(14)
  • 방송통신대(3)
  • 서식(1)
  • ppt테마(1)
  • 노하우(1)

"모터제어 실험" 검색결과 181-200 / 2,098건

  • 아주대학교 기계공학응용실험 A+ 예비보고서 DC모터 구동 및 DAQ
    실험 이론2.1 BLDC Motor모터 내부의 마모되기 쉬운 부분(Brush)을 제거해 내구성을 높이고, 고속회전에 무리가 없는 모터의 방식으로, DC모터 제어와 유사하나 모터 구동 ... 일반 DC모터에 비해 오래 사용해도 소음이 늘거나 성능이 떨어지지 않으며 정밀한 속도 제어가 가능하고 에너지 효율이 높다.DC 모터BLDC 모터내구성짧다반영구적소음크다적다운전방향단방향양방향소비전력적다가장 ... - 예비 보고서 -실험 제목: DC모터 구동 및 DAQ과목명 : 기계 공학 응용 실험제출일: 05 월 15 일실험일자: 05 월 16 일실 험 조 명 :4반 5조책임 수행자 :공동
    리포트 | 4페이지 | 1,000원 | 등록일 2021.03.25
  • 경북대 모터제어 및 로보틱스
    상의 수에 따라 모터의 step각 등의 기본 특성이 달라진다.http://www.e-motor.co.kr/MOTOR/TEXT.htm장점단점1. ... 모터제어 및 로보틱스1. 실험목적자동차는 빠른 생산 공정에서 특별히 제작된 차량용 이송 장치로 생산라인에서 짧은 시간에 한 대를 생산한다. ... 실험 원리 및 방법실험원리 : 펜던트로 프로그램을 작성한 후 로봇을 제어한다.실험방법1. 원점을 설정한다.2. 모션프로그램을 작성한다.3.
    리포트 | 12페이지 | 1,000원 | 등록일 2020.04.27
  • [응용공학실험] 랩뷰를 이용한 서보모터 제어 보고서
    실험 목적LabVIEW를 사용하여 PC, DAQ보드, 서보 모터로 구성되어 있는 시스템을 제어한다. 디지털과 아날로그 신호 사이의 변환을 이해하고 모터제어 과정을 분석한다. ... 전자의 이동을 방해하여 모터에 일정한 전압이 걸리지 못해 진동의 폭이 더 커진 것으로 볼 수 있다.2) 서보모터 PID 제어 실험(1) P 제어기P 제어실험 결과 그래프 그림 ... 모터의 응답 특성 표 1을 P 제어기의 비례 이득에 대해서 정리하고 실험 결과를 비교하면 다음과 같다.
    리포트 | 29페이지 | 2,000원 | 등록일 2019.11.15 | 수정일 2019.12.13
  • 부산대학교 응용전기전자실험2(2. 서보제어 예비보고서)
    실험 목적-서보제어란 무엇인지 이해한다. -서보모터에 대해 이해한다. 2. 관련 이론1) 서보제어란? ... 일반적으로 서보라고 할 때는 서보기구의 구성요소인 서보모터, 서보앰프 및 모터에직결된 검출기를 일체 가리키는 경우가 많다. ... 서보는 정확하게 서보기구 또는 서보 메커니즘이라고 하며, 자동제어의 한 분야를말한다.
    리포트 | 7페이지 | 1,500원 | 등록일 2022.12.23
  • 텀프로젝트 RC카 마이크로프로세서 Atmega128
    DC모터 또는 1개의 스테핑 모터제어- 각각 모터 A와 B의 출력을 제어하는 신호선으로 PWM 제어가 가능. ... 시뮬레이션 및 실험사진(6.1) 조이스틱부 테스트(6.2) 모터부 테스트(6.3) 블루투스 테스트(6.4) 전체 동작7. 결과 및 고찰1. ... 제어 포트DDRB = 0xf0; // PWM 출력 포트DDRC = 0xff; // CLCD 제어 포트//DC 모터 설정TCCR1A = 0x82; // 0b1000 0010, 타이머카운터1
    시험자료 | 32페이지 | 10,000원 | 등록일 2023.12.15
  • 부산대학교 기계공학부 기계공학응용실험 A+ PLC 응용실험
    PLC 실험 내용본 실험에서는 PLC의 동작 이해를 위한 입출력점 간의 결선을 통한 시스템 도작의 확인, 래더다이어그램 작성과 실행을 통한 스테핑 모터제어 및 선형운동 모듈의 이송을 ... PLC 실험 목적자동화된 기계시스템은 사용자(user)나 센서의 입력을 받아 정해진 로직(logic)이나 가동순서에 따라 모터, 솔레노이드, 스위치와 같은 출력장치를 제어하는 시스템이다 ... 제어하는 실험과 다양한 물체가 흘러가는 컨베이어 시스템에서 물체의 특성에 따라 센서의 입력을 달리하여 입력의 상태에 따라 공압 밸브를 이용해 물체를 분류하는 실험을 PLC 로직 프로그램인
    리포트 | 5페이지 | 1,000원 | 등록일 2022.01.12
  • 기계공학실험 - Bump Test Modeling 보고서 (홍익대, 홍익대학교)
    이 법칙을 사용하여 본 실험에서 사용하는 미분방정식 을 사용할 수 있다.Methods- 랩뷰랩뷰는 제어 소프트웨어로 시각적으로 코딩을 할 수 있게끔 하는 프로그램이다.- 서보 모터서보 ... 서보모터는 서보 기구에서 사용되는 모터로 회전수를 통해 기준의 상태와 비교하여 구동시스템을 구축하여 속도와 위치 등을 제어한다.- 실험에서 사용되는 함수: 전체 시스템의 전달 함수이며 ... 또한 2주간의 제어 실험을 마쳤는데 Labview와 같은 프로그램을 직접 다뤄보았다면 후에 제어 시뮬레이션이 필요할 때 유용하였겠지만 이 프로그램의 숙련도가 다소 떨어질 것 같아 많이
    리포트 | 6페이지 | 1,500원 | 등록일 2021.03.24
  • [레포트]부산대학교_기초진동실험
    비감쇠 자유진동① 먼저 설치할 스프링의 스프링 상수를 스프링 변형 실험을 통해서 구한다.② 강체보에 스프링을 보 우측 끝단에 설치한다.③ 차트기록기 모터 전원선을 속도제어기 전면 패널의 ... 감쇠 자유진동① 강체보에 스프링을 보 우측 끝단에 설치하고, 감쇠기는 보의 내부에 설치한다.② 차트기록기 모터 전원선을 속도제어기 전면 패널의 보조 전원 공급 소켓에 연결하고, 속도제어기 ... 감쇠 강제진동① 강체보에 감쇠기를 설치하여 감쇠진동계를 구성하고, 가진 모터 속도제어기의 전원 스위치를 켠다.② 응답 위상 측정을 위해 가진기 원판(불평형 원판) 위에 원판 궤적 기록지를
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.21
  • LIG넥스원 R&D(연구개발직) 합격 자기소개서
    '세탁기용 1션트 제어 알고리즘 개발'은 일반적인 PMSM 모터를 기반으로 전류 센서없이 직류단에 저항 하나를 가지고 전류를 획득하는 방식으로 모터 제어를 기본 베이스로 모터 제어의 ... , 모터 제어에 특화된 DSP 모듈 학습/개발 및 디버깅 과정을 경험했습니다. ... 응용 지식을 다양한 실험을 통해 습득하였습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.10.20
  • 부산대학교 기계공학부 기계공학응용실험 A+ 기초진동실험
    실험장치강체보-대시 포트 감쇠기-코일스프링 진동계, 가진 모터 및 속도제어기, 위상각 측저안, 드럼 차트 기록기4. ... 스프링상수는 정적변형실험을 통해 구한다.* 감쇠자유진동① 강체보 우측 끝단에 스프링을 설치하고, 감쇠기는 보의 내부에 설치한다.② 차트기록기 모터 전원선을 속도제어기 전면 패널의 보조 ... 강체보에 감쇠기를 설치하여 감쇠진동계를 구성하고, 가진 모터 속도제어기의 전원 스 위치를 켠다.② 응답 위상 측정을 위해 가진기 원판(불평형 원판) 위에 원판 궤적 기록지를 붙이고
    리포트 | 10페이지 | 1,000원 | 등록일 2022.01.12
  • 인천대 기계공학실험(연구) 원심펌프 실험 레포트
    속도 제어 실험과 동일하게 펌프 세팅값을 변경하며 실험을 진행한다 ... 실험 방법1) 속도제어 실험1.출구밸브를 완전히 잠그고 원심펌프와 컨트롤 패널을 연결하며 실험을 시작한다.2.펌프를 작동시킬 소프트웨어를 실행한다. view diagram을 클릭하여 ... (데이터: 수조의 수위, 최종수위, 소요시간, 펌프 입⋅출구 압력, 모터의 회전 수 등)5.출구밸브를 서서히 열어 유량을 증가시키며 10회 이상 데이터를 수집한다.6.view graph를
    리포트 | 13페이지 | 2,000원 | 등록일 2024.04.19
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 3차 예비보고서
    스텝 모터 구동기소속전자전기공학부학수번호실험 조x조조원 이름작성자실험날짜2023.09.21제출날짜2023.09.21양식의 맨 위양식의 맨 아래1. ... 스텝 모터의 회전각은 가해진 펄스 수를 조정함으로써 제어할 수 있다. ... 실습 목적단극 스텝 모터 (Uni-polar step motor)의 동작 원리를 이해하고 스텝 모터를 조종하기 위한 범용 이동 레지스터 (Universal shift register
    리포트 | 7페이지 | 1,000원 | 등록일 2024.02.17
  • A+ 중앙대 아날로그및디지털회로설계실습(결과)3. 스텝 모터 구동기 강의자료
    서론스텝 모터는 전자장을 이용하여 구동되는 장치이다. 1.5도까지 정밀 제어할 수 있다. ... 스텝모터 구동기요약 :단극 스텝 모터 (Uni-polar step motor)의 동작 원리를 이해하고 스텝 모터를 조종하기 위한 범용 이동 레지스터 (Universal shift register ... 에어컨의 통풍구 플랩 제어, 전기식 도어 미러 조정 등 다양한 기기에 사용되는 중요한 부품이다. 또한 범용 이동 레지스터는 단극 스텝 모터의 컨트롤러로써 사용된다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.09.10 | 수정일 2022.10.12
  • Lesson2 실험보고서 (2023)
    오른손의 경우는 주로 사용하는 팔로 자신이 쉽게 제어할 수 있지만 왼손의 경우는 주로 사용하지도 않고 최대치의 힘을 사용하는 경우가 별로 존재하지 않아 쉽게 제어하지 못했다.피실험자는 ... 실험방법1) 이론적배경: 지속적인 근육의 수축은 근육의 피로 상태를 유발한다.2) 근육의 피로도를 방지하기 위해서 motor unit은 한번에 모두 수축하지 않고시간차를 두고 수축한다.심장근은 ... 실험보고서1. 실험제목: Biopac Systems Inc을 이용한 근전도 측정2.
    리포트 | 4페이지 | 3,000원 | 등록일 2023.06.19
  • 태양전지 이론 및 실습 리포트
    할로겐 램프는 “남쪽”에 위치하여 광 세기는 레벨 10으로 설정한다.2)광을 조사했을 때 모터의 동작을 기록하고, 태양전지의 연결을 반대로 하여 동작한다.3)광량 제어기에서 광 세기를 ... 실험명: 태양 전지 실습 – 실험2 에너지 변환기로서의 태양전지1.1실험 목적: 태양 전지의 전기 회로 내 작동을 이해한다.1.2 실험방법1) Setting 값으로 회로를 구성하고, ... 태양전지의 연결이 반대가 되면 모터의 회전 방향은 어떻게 되는가? 모터의 동작 원리와 태양 전지의 방향, 전류의 방향을 고려하여 기술하라.모터의 회전 방향이 반대가 된다.
    리포트 | 43페이지 | 5,000원 | 등록일 2021.10.05 | 수정일 2022.01.26
  • 동역학실험
    [그림 4.3] 기어효율 실험장치의 구성[그림 4.4] 제어장치 전면패널의 구성① 모터의 회전수, 토크, 일률 표시 창 ⑤ 모터 시작 스위치② 브레이크 회전수, 토크, 일률 표시 창 ... 조정한다.⑦ 제어장치 모터 회전속도를 0에 놓고 전원을 켠다.⑧ 모터 회전수를 600rpm으로 맞춘다.⑨ 브레이크를 조정하여 입력 토크를 0.1 단위로 증가시킨다. ... 장치는 가공된 홈에 위치되어 고정된다.실험방법본 기어 시스템 실험장치의 구성은 [그림 4.3]과 같고, 제어장치의 전면패널의 구성은 [그림 4.4]와 같다.
    리포트 | 35페이지 | 무료 | 등록일 2021.09.24
  • 전기기기및실험 시퀸스제어 발표자료
    회로도를 보고 온도조절스위치를 이용한 저온 제어를 냉동실험장치의 모터 부하와 연결시켜 냉동사이클을 구성 , 운전할 수 있다 . .2. ... 실험장치 ( 부품 ) 및 계측기기 2) 압축기 , 응축기 , 응축기 팬 모터 , 증발기 팬 모터 , 전자밸브 (SV), 팽창밸브 , 온도조절스위치 압축기 응축기 ( 응축기 팬 모터 ... 저온 제어 회로도 ⦁ L1, L2 : 라인전압 ⦁ N.F.B : 과전류 차단기 ⦁ PL : 전원램프 ⦁ COMP : 압축기 ⦁ CFM : 응축기 팬 모터 ⦁ SV : 전자밸브 ⦁ EFM
    리포트 | 28페이지 | 1,000원 | 등록일 2020.03.05
  • (Jelly) A+ 기초전기전자실험 모터
    기초전기전자실험모터기계공학실험 기계공학부0 000 교수님0000000000 000기초전기전자실험 ? 로보틱스 실험보고서1. ... 그러나, 소형 모터이고, 게다가 속도의 가변폭이 작아도 좋은 경우에는 손실을 작게 할 수 있다는 점과, 제어회로가 간단하기 때문에 흔히 사용되고 있다.2. ... 터치 팬던트를 통해 프로그래밍하여 기계를 제어해 보았습니다.
    리포트 | 16페이지 | 2,500원 | 등록일 2020.04.08
  • 아날로그 및 디지털회로설계 실습 4주차-스텝모터구동기
    실험결과1-3-1 스텝 모터의 회전각은 가해진 펄스 수를 조정함으로써 제어할 수 있다. ... 서론단극 스텝 모터 (Uni-polar step motor)의 동작 원리를 이해하고 스텝 모터를 조종하기 위한 범용이동 레지스터 (Universal shift register)의 사용 ... 스텝 모터 구동기분 반교 수 명실험 날짜제출 날짜조학 번이 름요약 : BJT와 레지스터를 이해하고 응용하여 스텝 모터 구동기를 설계할 수 있다.1.
    리포트 | 8페이지 | 2,500원 | 등록일 2021.12.14
  • [A+] 인천대 기계공학연구 원심펌프 레포트 [마지막 감사드려요.]
    실험 방법속도 제어 실험1. 출구밸브 잠그고 실험 장비 연결 후, on 상태로 켠 후 초록불 점등을 확인한다.2. ... 속도 제어 실험과 같은 과정을 반복한다.3. 엑셀파일로 저장한다.3. ... 에너지를 공급하는 기계장치를 펌프라고 한다.터빈: 유체가 터빈 날개를 돌림으로서 에너지를 추출펌프: 모터를 통해 동력을 넣어서 유체를 회전시켜서 에너지를 공급터보기계는 유체가 날개를
    리포트 | 20페이지 | 4,000원 | 등록일 2024.08.16
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:16 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대