• 유니스터디 이벤트
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(12,980)
  • 리포트(11,396)
  • 자기소개서(648)
  • 시험자료(611)
  • 방송통신대(239)
  • 논문(62)
  • 서식(19)
  • 이력서(2)
  • ppt테마(2)
  • 기업보고서(1)

"신호등 제어" 검색결과 181-200 / 12,980건

  • 전자과 졸업작품/캡스톤 디자인(전동킥보드 헬멧 상태에 따른 모터제어 시스템)
    센서의 신호를 넘겨받아 릴레이 모듈을 제어하는지 확인6. ... Slave 회로에서는 앱 인벤터에서 수신된 신호의 상태를 확인하고, 수신된 신호의 상태에 따라 릴레이 모듈을 제어하여 전동킥보드를 제어5) SW 리스트1) 블루투스 모듈 설정(Master ... 과제 수행 방법 및 내용 (아주 상세하게 작성)1) 설계 (개념 설계, 설계 구성도, 설계도 등)개념설계헬멧 착용 여부에 따라 전동킥보드의 모터가 제어되도록 구상설계도회로.1 Master회로
    리포트 | 7페이지 | 15,000원 | 등록일 2022.09.29 | 수정일 2023.11.09
  • 아날로그 및 디지털회로설계실습 실습6(위상 제어 루프(PLL))결과보고서
    위상 제어 루프(PLL)요약이동 통신 등의 채널 설정에 주로 쓰이는 위상 제어 루프에 대해 실험을 하였다. ... 결론 및 검토사항(커패시터 용량에 따른 허용 주파수 범위를 표로)이동 통신 등의 채널 설정에 주로 쓰이는 위상 제어 루프에 대해 실험을 하였다. ... 서론위상 제어 루프는 VCO의 출력 위상을 입력 신호의 위상과 비교하여 두 입력의 위상 차이를 가지고 전압제어 발진기를 제어하는 피드백 시스템이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2020.09.24
  • 함수발생기와 오실로스코프-예비보고서
    실습 목표함수 발생기로 여러 종류의 파형을 발생시켜 보고, 오실로스코프를 이용하여 파형의 주파수, 주기, 평균치, 첨두치 등을 측정할 수 있다.2. ... 주파수를 지정한다.- SWEEP WIDTH : 스위프의 크기를 제어한다.- OUTPUT : 주 출력이 출력 임피던스 50Ω으로 출력되는 BNC 단- AMPLITUDE : 출력신호의 ... : 수직축 또는 수평축의 제어를 위한 버튼② 함수발생기함수발생기함수발생기는 낮은 레벨의 다양한 교류 (정현파.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.09.04
  • 진동 신호의 시간 및 주파수 영역 해석, Auto-correlation과 spectral density
    이는 신호발생기가 신호를 발생시키는데 PID제어와 같은 전압 제어를 하기 때문이다. ... 동역학 제어 실험 2주차 레포트3. 진동 신호의 시간 및 주파수 영역 해석4. Auto-correlation과 spectral density1. ... 이번 실험은 주파수 분석기를 이용하여 진동을 해석하는 기본 지식인 푸리에 변환, auto-correlation, power spectral density 등을 이해하고 이론적인 계산보다
    리포트 | 17페이지 | 6,000원 | 등록일 2023.04.25
  • 한국수력원자력 전기,전자직 합격자소서
    휴대폰 버튼을 누를때 발생하는 신호는 주파수 2개가 합쳐진 신호로서 아날로그 신호를 디지털 신호로 변환하여 ATMEGA128에 대응하는 0-7까지의 입출력 제어 알고리즘을 설계하는 ... 다양한 활동(학교, 회사, 동아리, 동호회 등)을 통해 지원한 직무와 관련하여 쌓은 경험 또는 경력사항에 대해 작성해 주십시오. (1000자 이내)1-1.언제, 어디서 활동했던 경험인지 ... 기존의 시스템은 수동으로 버튼을 눌러 제어하였지 만, 이 방법을 택한 이유는 사용자가 무선으로 원거리를 제어할 수도 있다는 점이었습니다.4-2.목표 달성을 위해 어떤 계획을 세웠고,
    자기소개서 | 5페이지 | 3,000원 | 등록일 2023.10.06
  • 미래 철도 공학 ) 전기철도 구간에서의 신호설비인 궤도회로장치에 대하여 간략히 설명하고, 한 궤도를 전차선로용 귀선전류와 신호회로용 전류를 같이 사용
    이를 이용하여 신호, 라인 스위치, 연동 장치 등의 신호 장치를 직간접적으로 제어할 수 있다.(3) 구성① 전원 공급 장치 (Power Supply Equipment): 전원장치는 ... 서론신호등, 도로이용 운전규칙 등 정해진 규칙과 규정에 따라 질서정연하게 차량의 원활한 흐름을 돕는 것은 바로 체계적인 교통신호이다. ... 궤도회로장치(1) 개요열차가 운행하는 선로에 전기회로를 구성하여 열차점유 유무와 레일절손 유무를 검지하여 신호제어조건으로 사용하는 설비를 궤도회로장치라고 한다.(2) 원리열차가 운행하는
    리포트 | 6페이지 | 5,000원 | 등록일 2023.08.21
  • 디젤엔진 및 전자제어 커먼레일(CRDi) 시스템
    크랭크 축 회전속도와 크랭크 축 회전각 커먼 - 레일의 연료압력 과급압력 온도정보 ( 흡기 , 냉각수 및 연료 ) 실린더에 흡입된 공기질량 자동차 주행속도 등 ECU 는 입력 신호들을 ... 평가하여 , 연소와 동기시켜 압력제어밸브 , 인젝터 및 대부분의 액추에이터 ( 예 : EGR, 터버차저 ) 를 위한 제어신호를 계산한다 .커먼레일 ( CRDi ) – 전자제어 시스템 ... 센서에서 나오는 신호를 기초로 하여 연료 분사 시기를 결정 - 엔진 1 회전 당 흡입 공기량 , 점화 신호 시기를 계산 캠 포지션 센서 - 엔진의 캠축에 설치되어 캠축 1 회전당
    리포트 | 13페이지 | 2,000원 | 등록일 2020.12.28
  • 부산대-어드벤처디자인-예비보고서1주차-A+수업
    디지털 신호와 아날로그 신호의 차이아날로그 신호는 전류의 주파수나 진폭 등 연속적으로 변화하는 형태로 전류를 전달하고, 디지털 신호는 전류가 흐르는 상태(1)와 흐르지 않는 상태(0 ... [그림 1] Mega2560 성능아두이노 보드의 입출력 단자에 핀 번호가 프린트 되어 있으며, 이를 통해 입출력 신호제어할 수 있다. ... Controller Unit)란 마이크로프로세서와 이를 사용하기 위한 메모리, 입력장치 등의 필요한 기능이 함께 집적된 장치이다.
    리포트 | 4페이지 | 1,500원 | 등록일 2021.11.23
  • PLC의 간단한 정의 및 제조사별 특징
    릴레이 , 타이머 , 카운터 등의 기능을 마이크로프로세서를 이용한 프로그램으로 제어될 수 있게 통합시킨 장치 각종 센서로부터 신호를 받아 제어기에 신호를 보냄으로써 사람이 지정해둔 ... : 지멘 스 제조 국 : 독일 특징 전세계적으로 인증된 PLC 로써 신뢰도가 높음 다른 PLC 와 비교하여 월등한 정밀 제어 ( 아날로그 ,PID 등 ) 가 가능 지저분한 환경에서도 ... 대로 로봇이 작동하도록 해주는 장치 입출력센서를 100~2000 개정도 제어할 수 있는 것이 일반 상품으로 나와 있다제조사별 PLC 종류 지멘 스 LS 산전 미쓰비시지멘스 제조 회사
    리포트 | 8페이지 | 1,000원 | 등록일 2021.12.30
  • (금오공대 기계공학과) 기계공학응용실험2 기계제어 실험 보고서
    제공된다.1) 실험 결과를 그래프등을 이용하여 분석해본다온오프제어기비례제어기비례미분제어기실험 결과 온오프제어기와 비례제어기의 라인트레이싱 능력은 크게 차이가 없는 것으로 보여진다. ... 자동제어시스템을 일반적으로 어떻게 구성할 수 있는지 제어시스템의 예와 도식 등을 이용하여 개략적으로 표현하시오이와 같이 온도센서를 부착하고 온도센서에서 AMP로 전송하여 필터링 한 ... 이 신호를 PC에서 받고, 다시 PC에서 나오는 디지털 신호를 아날로그신호로 DAC에서 바꾸어준다. 이 아날로그 신호를 엑츄에이터에서 받아 히터를 구동한다.6.
    리포트 | 13페이지 | 1,000원 | 등록일 2020.06.09
  • 위상 고정 루프 회로(Phase Locked Loop, PLL) 예비보고서
    PLL 회로는 외부 신호의 위상을 전압 제어 크리스털 발진기(VCXO)에 의해 생성된 클럭 신호의 위상과 비교하여 작동합니다. ... K : VCO 이득 (VCO 고유상수)3) 전압 제어에 의한 발진주파수의 변화 방법보통, 가변용량 다이오드(버렉터) 등의 주파수 동조 가변 특성을 이용하여 인가 조절 전압을 변화시킴으로써 ... 주파수를 변화시킨다.4) VCO 활용전압 제어가 가능한 점을 이용하여 수신기에서 수신 클록의 추출 등에 많이 이용됨- PLL(위상동기루프)을 구성하는 핵심 기능 블록 중 하나주파수합성기의
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.27
  • 이화여자대학교 일반대학원 전자전기공학과 학업계획서
    저는 OO대학교 전기전자공학부 학부에서 신호및시스템, 통신이론, 통신시스템, 제어공학, 기초인공지능, 딥러닝실험, 지능제어, 디지털신호처리, 임베디드시스템실험, 응용프로그래밍, 랜덤프로세스 ... , 컴퓨터구조 등의 전공수업을 들었습니다. ... 반면 이화여자대학교 전자전기공학과 대학원은 연구중심이고 논문실적이나 실험설비 등이 OO대학교보다 훨씬 뛰어나다고 생각하기 때문에 이화여자대학교 대학원에 입학 지원하게 되었습니다.2.
    자기소개서 | 1페이지 | 3,800원 | 등록일 2022.05.02
  • 유도기 동기기 MG세트의 3상 인버터 구동 실험 예비보고서
    40, 55, 60Hz)(9) 1~7까지의 숫자에 해당하는 3비트 바이너리 입력 신호제어단자 P5 ~ P7에 인가한다. ... 정류부는 3상 교류를 6개의 다이오드로 직류로 정류하고, 평활회로부는 리플을 대용량 커패시터로 평활화하고 인버터부에서 IGBT로 신호에 따라 회로를 제어한다. ... 연결iS7 키패드 메뉴 구성iS7 인버터는 5개의 모드로 구성되어 있지만 아래의 모드 외에는 사용하지 않는다.모니터 모드: 주파수 설정 및 운전주파수 표시, 출력 전류 및 전압 등
    리포트 | 8페이지 | 1,000원 | 등록일 2022.02.21
  • 기계공학실험레포트(DC motor)
    DAQ 보드 : 외부에서 입력되는 디지털, 아날로그 신호를 PC 가 인식할 수 있는 신호로 변환해 주거나 PC에서 출력되는 신호를 외부로 전달해준다.? ... 엔코더(Encoder) : 모터의 회전속도, 방향 등을 감지하는 융합 센서. 엔코더의 성능이 좋지 않 으면 에러 발생하여 모터가 작동하지 않을 수 있다.? ... 따른 성능저하대용량 모터 제작에 한계, 비싼 가격Plus Width Modulation을 이용하여 제어3상 전류제어형 PWM인버터 구동(6)3.
    리포트 | 17페이지 | 1,500원 | 등록일 2023.10.22
  • 프로토콜과 인터페이스를 정의하고 OSI 7계층 모델의 계층별 기능에 대해 서술
    ) : 두 기기 간의 통신 속도, 메시지의 순서 제어 등을 규정2) 인터페이스 정의인터페이스는 서로 다른 시스템이나 장치 간에 상호 작용하기 위한 접점입니다. ... 레벨(Signal Level) 등을 규정의미(Semantics) : 두 기기 간의 효율적이고 정확한 정보 전송을 위한 협조 사항과 오류 관리를 위한 제어 정보를 규정시간(Timing ... 제어(Control): 인터페이스의 동작을 제어하고 조정하는 과정입니다.
    리포트 | 3페이지 | 1,000원 | 등록일 2023.08.16
  • (A+)도문디 레포트_스마트 시티(학점 인증)
    그중 하나가 바로 스마트 신호 제어 서비스이다.스마트 신호제어 서비스란, 교통정보 빅데이터 기반의 도시 교통 정체 예방형 신호 제어 시스템이다. ... 이 가로등은 원격으로 제어되며 센서가 움직임을 감지한다. ... (가) 스마트 신호 제어 서비스세종 스마트 시티는 다양한 서비스를 도입하여 이동의 편리함과 도시 지속 가능성 향상에 힘쓰고 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.09.06 | 수정일 2022.10.04
  • 연세대학교 일반대학원 생명공학과 학업계획서
    및 숙주 세포와의 상호작용에 중요한 원인 분석 연구 등을 하고 싶습니다.저는 또한 항암 약물의 제어 방출을 위한 결정화도 조정된 울트라소프트 폴리머 DNA 네트워크 분석 연구, Cryptococcus ... HHuMin-U가 TBK1-IRF3 및 NF-Kappa B 신호 경로를 통해 노로바이러스 감염에 대한 선천 면역 방어를 활성화시키는 기전 연구 등을 하고 싶습니다.저는 또한 접착성 ... 생명공학부를 다니면서 생물유기화학, 유전학분석, 주니어세미나, 생명공학정보처리, 미생물생명공학, 생물전달현상, 화학생물학, 식품생화학, 생명공학실험, 생명공학창의설계 등의 수업을 들었습니다
    자기소개서 | 2페이지 | 3,800원 | 등록일 2023.06.01
  • 기계공학응용실험 보고서 'PLC실험'(A+) - 부산대학교 기계공학부
    제어로직을 설계할 수 있도록 제작된 제어기의 일종이다.일반적으로 생산 자동화를 위한 기술로는 센서기술, 유공압, 생산네트워크 기술, 서보 제어기술, 시퀀스 제어기술 등이 있고, 이러한 ... 센서들로부터 입력신호를 받아서 메모리에 저장된 사용자 프로그램을 실행시켜 필드의 각 제어장치로 출력 명령을 내보낸다.이와 같이 입력을 읽고 프로그램을 진행시켜 출력신호를 내보내는 연속된 ... 푸쉬 버튼(적)“X011”을 누르게 되면 X011신호가 OFF 되어 M0 신호도 OFF 상태가 된다.
    리포트 | 7페이지 | 1,500원 | 등록일 2020.12.21 | 수정일 2020.12.26
  • [편입] 연세대 전기전자공학과 학업계획서
    전적대학에서 회로이론, 신호와 시스템, 전력공학, 전기기기 등의 수업을 수강하였습니다. ... ‘회로망이론(회로이론2)’,‘신호와시스템’ 등의 수업을 수강하며, 해당 과목들을 A이상의 성적을 받았습니다. ... 또한 4학년 과정에서는 ‘디지털제어공학’, ‘전기전자종합설계’ 등의 과목을 수강하여 전력 분야의 전문성을 쌓을 것입니다.
    자기소개서 | 2페이지 | 5,000원 | 등록일 2023.03.05
  • ICT를 적용한 축산 현황
    제어신호를 돈사시설 관리자에게 전송하여 돈사시설을 제어함돈사 수동제어 서비스의 동작 과정- 위험상황 알림 서비스위험상황 알림서비스는 기상변화와 돈사의 상황변화를 농민과 같은 사용자에게 ... 이때 생산자가 돈사시설을 제어하고 싶을 때 GUI를 통해 돈사 관리 서버로 돈사시설 제어신호를 전송하게 되고, 돈사 관리서버는 돈사 데이터베이스를 통해 돈사시설의 동작유무를 파악하여 ... 돈사 데이터베이스에 저장하고 돈사 관리서버가 이를 호출하여 돈사 데이터베이스에 저장된 환경 기준값과 수집된 정보를 비교하여 이때 기준값을 초과하거나 미달하면 돈사시설 관리자에게 제어신호
    리포트 | 18페이지 | 3,000원 | 등록일 2023.11.02
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:20 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대