• 통큰쿠폰이벤트-통합
  • 통합검색(914)
  • 리포트(877)
  • 시험자료(24)
  • 논문(6)
  • 자기소개서(3)
  • 방송통신대(3)
  • ppt테마(1)

"카운터(Counter)란" 검색결과 181-200 / 914건

  • 디지털시계 프로젝트, digital clock 프로젝트
    */_bit move_enable;// Quadrature Counter / Pulse Counter 사용 변수(2) Pulse Counter의 Interrupt 함수/* 펄스 카운터 ... */_sfrbit clear = _p3^5; /* Pulse Counter Clearp3^5 핀은 펄스 카운터 모드에서 기존 값의 reset에 사용 */// 펄스 카운터 모드(J1 ... 전류가 1A-4W이하면 거의 방열판이 필요 없고 그 이상 되면 rection = _p3^4; /* Pulse Counter Directionp3^4 핀은 펄스 카운터 모드에서 진행방향설정
    리포트 | 34페이지 | 1,500원 | 등록일 2017.04.02
  • 신호 발생기 사용메뉴얼
    세팅은 1번의 디스플레이 장치에 표시되지 않으므로 이 다이얼을 통해 정 확히 조절해야 한다.)(9)GATE TIME INDICATOR : Hz, KHz, MHz 지시기(10)EXT COUNTER ... 신호 발생기 사용 매뉴얼(1)녹색 LED 디스플레이 : 내, 외부 신호의 주파수 지시(2)INT/EXT 스위치 : 내부 함수발생기 주파수 카운터 기능/외부 신호 주파수 카운터(3)RANGE ... : 주파수카운터 기능을 이용할 때 외부 신호가 입력되는 곳으로 BNC 타입으 로 되어 있다.
    시험자료 | 3페이지 | 1,500원 | 등록일 2019.09.01
  • 타이머와 카운터 예비보고서 마이크로컨트롤러
    반면, “1”로 설정하면 Waveform Generation Unit으러를 선택한다.타이머/카운터 0타이머/카운터 2(7) TCNTn(Timer/Counter Register n)타이머 ... 카운터는 비동기모드로 동작하며, 외부 핀(TOSC1, TOSC2, T1, T2, T3)을 통해서 들어오는 펄스를 계수(Edge Detector)하여 Event Counter로서 동작한다 ... 결론적으로 카운터 레지스터 값을 183으로 초기화 시키고 타이머를 동작시키면 72번 증가후 255값을 넘어서 인터럽트가 걸리게 된다.(6) TCCRn(Timer/Counter Control
    리포트 | 15페이지 | 1,000원 | 등록일 2017.04.02
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습8 [결과레포트]
    다음에 송수신하는 데이터는 DD RAM의 데이터이다.Busy flag & address Reading : LCD 모듈이 내부 동작중임을 나타내는 Busy Flag(BF) 및 어드레스 카운터의 ... Backgrounds (Required theory) for this LabText LCDLCD 창에 문자를 표시하는 장치7-Segment ay Clear : 전체 화면을 지우고 어드레스 카운터를 ... 작성하면서, Up Counter 및 Down Counter에 대하여 한번 더 복습할 수 있었고, Text LCD에 표시되는 특정 수를 Up Counter와 Down Counter
    리포트 | 22페이지 | 1,000원 | 등록일 2017.10.19
  • 스마트 신호등 - Smart traffic lights Design - VLSI설계
    설계 결과 2 ◆ TOTAL CIRCUIT BACK ☜설계 결과 2 ◆ SIMULATI0ON BACK ☜설계 결과 2 계획서와 설계작품 비교분석 및 고찰 최대 6bit 를 이용한 카운터와 ... 신호등 한 개를 이용해서 enable 입력에 따라서 4 개의 신호등을 구현 설계작품 VS 비교분석결론 및 제작 후기 3 결론 - 창의적으로 생각해낸 난수 발생기와 학업과정에서 배운 카운터 ... 개념도설계 결과 2 설계회로 및 시뮬레이션 결과 ◆ LOGIC GATE ☜ ◆ RANDOM NUMBER GENERATOR ☜ ◆ REGISTER ☜ ◆ COMPARATOR ☜ ◆ COUNTER
    리포트 | 19페이지 | 3,500원 | 등록일 2017.11.16
  • 타이머와 카운터 결과보고서
    */TIMSK |= 1 < TOIE0; // 오버플로우 인터럽트 허용TIFR |=1 < TOV0; // TOV0 Timer/Counter0 overflow flag 클리어5)sei( ... Mhz / 128 prescaler )) * 250=>0.0043s, 0.0043s를 얻기 위한 카운트 값 (Timer/Count0 Register: Timer/Count0의 8비트 카운터 ... 250;/*변수 TCNTO값에서 250를 뺀다, 타이머 주기를 맞추기 위해 카운터의 초기값을 설정하는 것*/timer0Cnt++;//timer0Cnt값을 1증가시킨다.if(timer0Cnt
    리포트 | 5페이지 | 1,000원 | 등록일 2017.11.20
  • Mod - n 카운터
    실험목표- Flip-Flop을 이용하여 다양한 Mod – n 카운터(Counter)를 설계할 수 있다.- 비동기 카운터와 동기 카운터의 차이를 이해하고 비동기 / 동기 카운터를 설계할 ... Decade Counter와 74190/74192/74193/74163 Synchronous Decade Counter의 Decade Counter 기능 수행 여부를 확인한다.- 실험 ... 또한 Bread Board에 7490 Decade Counter와 74190/74192/74193/74163 Synchronous Decade Counter의 Decade Counter
    리포트 | 8페이지 | 1,000원 | 등록일 2016.04.12
  • 마이크로컴퓨터(PWM을 이용한 DC모터 속도제어) 프로젝트 과제/레포트
    이때 속도는 Timer/Counter0 인터럽트가 걸릴 때 100단위로 바꾸어 Dynamic Display하였다. ... 이 때 모터 속도를 제어하기 위해 사용된 것이Timer/Counter인데 여러 가지 모드 중에 PWM모드를 통하여 파형을 생성하여 모터속도를 제어하였다. ... 타이머/카운터 레지스터라고 한다.
    리포트 | 13페이지 | 2,000원 | 등록일 2018.08.19
  • 논리설계 및 실험 전자시계 결과보고서
    위해 2진 카운터를 사용하였다. ... 분주기에 clock이 들어가게 하였다.25Mhz를 분주시키기 위해 10진 Counter * 7개와 5진 Counter * 2개를 사용 하였다.50Mhz를 분주시키기 위해 10진 Counter ... 이때 스톱워치의 경우 추가로 100진 카운터(10진 카운터 2개를 연결)를 사용하였다.2개의 clock을 사용하기 위해, clock의 값이 변경 될 시, 해당 clock값에 대응 되는
    리포트 | 9페이지 | 2,000원 | 등록일 2016.11.28
  • 논리회로실험 예비보고서8
    예를 들면 스탑 워치, 택시 미터기 등 여러 가지가 있으며, 가장 많이 사용하는 Counter 타입은 n비트 이진 카운터이다. 2진수는 0과 1 두 가지의 숫자를 가지고 표현하기 때문에 ... -“Counter“, 네이버블로그, 2015.11.5.,(http://miniskirtzia.blog.me/220070415445)-“비동기식 카운터”, 네이버블로그, 2015.11.5 ... Counter: Counter는 숫자를 세는 논리회로를 말한다.
    리포트 | 9페이지 | 1,500원 | 등록일 2017.03.09
  • (방통대 컴퓨터의이해)개인용검퓨터의 중앙처리장치 개인용컴퓨터 운영체제의 역할 2차원바코드의 종류와 사용사례!!!
    여기서 레지스터는 임시기억장치를 의미한다.프로그램 카운터(Program Counter)는, 다음에 실행할 명령어의 주소를 기억하는 레지스터다. ... 제어장치는 프로그램 카운터(PC), 명령 레지스터(IR), 명령해독기(Decoder), 부호기(Encoder), 메모리 주소 레지스터(MAR-Memory Address Register
    방송통신대 | 6페이지 | 3,000원 | 등록일 2019.03.24
  • 논리설계및실험텀프로젝트 전자시계 제안서
    방법 등을 설명해둔 문서이다.목표FPGA BOARD와 FLOWRIAN을 이용한 논리 회로(디지털 시스템) 설계FLIP-FLOP과 REGISTER등을 이용하여 전자시계에 필요한 기능(Counter ... 4번까지에 불빛이 들어온다.스톱워치스톱워치 모드의 초기 상태는 모든 세그먼트는 00.00.00의 값을 가지며 lab은 99.99.99의 상태를 가진다.초기 상태에서 E 버튼을 입력 시 Counter가 ... 오전 오후를 구분하기 위한 별도의 비트 필요.60진 카운터 : 6진 카운터와 10진 카운터를 연결하여 만든다. (10진 카운터로 10을 세면 6진 카운터에 1이 올라가는 식의 구현)
    리포트 | 6페이지 | 2,000원 | 등록일 2016.11.28
  • [A+] 연세대학교 원주캠퍼스 의공학부 기초실험(2) 9주차 REPORT
    이용해 D플립플롭과 T플립플롭을 구현하고 동작을 확인하여, 카운터 회로를 구현하는데 있다. ... 과목명 : 기초실험(2)교수님 : 이윤선 교수님조교 : 신수연 조교님실험제목 : 디지털04 : 플립플롭과 카운터조 : 5조이름 :학번 :Discussion본 실험의 목적은 JK플립플롭을 ... 즉, Ring Counter은 동기식 Counter이라는 말이다.실험 진행에 앞서 초기 값을 설정하기 위하여 Preset 신호를 활성화시켜, 모든 출력을 1로 만들고 시작하였다.
    리포트 | 7페이지 | 5,000원 | 등록일 2018.01.09 | 수정일 2021.10.31
  • VHDL 카운터 설계 및 시뮬레이션
    J와 K 둘 다 1인 경우 Toggle 되고 둘 다 0인 경 우 Q의 값은 이전 상태를 유지한다.(8) Ripple Counter플립플롭의 개수: 4개2^{ 4}=16(0~15) 표현 ... Master-Slave D-F/F(5) Master-Slave D-F/F with PRESET&CLEAR(6) Toggled Master-Slave D-F/F(7) JK-F/F(8) Ripple Counter ... 실습내용 및 결과(1) 16진 카운터(2) 10진 카운터(3) 5-6-7반복 13진 카운터(4) 2-13반복 12진 카운터Ⅳ. 실습소감Ⅰ.
    리포트 | 18페이지 | 2,000원 | 등록일 2017.11.26 | 수정일 2018.08.27
  • [디지털 논리회로 실험] 18장. 링 카운터와 존슨 카운터 결과레포트
    카운터와 존슨 카운터X조이름학번실험일XX.XX.XX제출일XX.XX.XX1. ... 즉 플립플롭 개수 4개의 2배에 해당하는 시퀀스이고 링 카운터에 비해 2배 많은 상태를 가지는 존슨 카운터의 특성을 실험을 통해 확인하였다. ... 클록 수에 따라 해당 계수 값에 해당하는 출력이 1이 되므로 계수기의 디코딩 효과가 있고, 일반 카운터에서 필요한 디코딩 로직이 링 카운터에서는 필요하지 않다는 것을 실험을 통해 성공적으로
    리포트 | 5페이지 | 1,000원 | 등록일 2017.07.02
  • 타이머카운터
    클럭)비트 2 TCN0UB : Timer/Counter0 Upda다. ... (Counter)- 외부 핀 T0(14번 핀), T1(15번 핀)에 펄스를 또는 클럭을 입력시켜 이벤트를 계수하는 방식을 말함.- 타이머/카운터 0은 SFR영역의 TH0 TL0사용, ... Res : Reserved항상 0으로 읽힘비트 3 AS0 : Asynchronous Timer/Counter0클럭 선택 제어 비트.1 = TOSC1 클럭 사용 0 = CK(CPU
    리포트 | 25페이지 | 1,500원 | 등록일 2016.12.07
  • 디지털공학 텀프로젝트 [랜덤 번호 생성기]
    공백입력 / 리플 공백 출력 이며 a-f는 7 - 세그먼트 출력을 의미한다. 7 - 세그먼트와 74ls47 IC chip 사이에 330옴 저항을 달아 준다.74LS90Decade Counter ... 관련 자료 수집홍 길 동 : 부품 주문 및 데이터 시트 정리 사용 부품순 번부 품 명수 량1HA17555555 Timer1274LS477-Segment2374LS90Binary Counter24R ... 수업시간에 2진 카운터와 5진 카운터가 내장된 74LS90 IC chip에 대해 배웠으나 10진 카운터로 사용하는 방법을 몰라 실습 진행에 상당한 지연을 가져왔다. 2진 카운터 출력
    리포트 | 8페이지 | 1,000원 | 등록일 2017.05.02
  • 디지털회로 실험 프로젝트 보고서, 포토인터럽트 활용, 자동 회전 액자(스크린), 회로 및 사진 포함
    회로에 측로 커패시터(Bypass Capacitor)를 달아줌으로써 짧은 시간에 여러 번 값이 변하는 채터링을 접지로 흘려준다.(3) Synchronous Binary Up-Down Counter ... - 업-다운 카운터의 상태 시퀀스-(4)NE555 단안정회로-지연 출력회로의 구성 모습이다. ... 제작 목적실험 실습을 통해 배운 여러 이론들인 게이트, 플립플롭, 7segment, 타이머, 디코더, 카운터 등을 이용하고 새로운 이론과 새로운 소자들과의 융합, 결합을 통해 기존의
    리포트 | 24페이지 | 2,000원 | 등록일 2019.02.08 | 수정일 2019.05.19
  • 1 digit 7진 UP/DOWN COUNTER 및 7-Seg, 표시회로 설계
    :0~6까지 X의 신호에 따라 UP/DOWN을 구현할 수 있는 COUNTER여기서 카운터란 무엇인가? ... 변하도록 한다.4.트리거 방식에 따라 카운더는 비동기식과 동기식으로 나뉨5.비동기식 카운터는 직렬카운터 또는 리플 카운터라 불린다6.앞에있는 플립플롭의 출력이 뒤에있는 플립플롭을 ... 트리거 한다.7.동기식 카운터는 모든 플립플롭이 같은 클럭 펄스에 의하여 동시에 트리거 되 며 병렬 카운터라 한다.8.비동기식 카운터는 동기식에 비해 회로가 간단하지만 전달 시간이
    리포트 | 5페이지 | 3,000원 | 등록일 2015.08.07 | 수정일 2021.07.11
  • [논실]예비8, 200820126, 안효중, 4조
    예비보고서 >[1] 실험 목적카운터(Counter)의 원리를 알고 실험을 통해 그 특성을 이해한다.2진 시스템에서의 표기와 2진 카운터를 이해하고 카운터를 이용한 디코딩, 인코딩의 ... [실험 3-1] BCD Counter[실험 3-2] 7-segment 표시기를 갖는 BCD Counter74HC90 IC를 이용해 BCD Counter를 구성해 보는 실험이다. ... - 비동기식 Counter[실험 2] 3진 Counter - 동기식 Counter회로도대로 회로를 구성한 후 Clock을 인가하면서 J-K F/F을 거친 2단 2진값에 AND 게이트를
    리포트 | 3페이지 | 1,000원 | 등록일 2012.02.29
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:32 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대