• 통큰쿠폰이벤트-통합
  • 통합검색(862)
  • 리포트(818)
  • 시험자료(30)
  • 자기소개서(7)
  • 방송통신대(6)
  • 논문(1)

"2:1 MUX" 검색결과 181-200 / 862건

  • 멀티플랙서와 디멀티플랙서 레포트
    에서는2 TIMES 1 MUX에 대한 실험이었는데, 2개의 입력신호와 1개의 선택신호로 구성되어 선택신호를 통해 2개중 하나의 입력값을 출력할 수 있었다. ... 논리식입력신호선택신호출력신호I _{0}I _{1}SYXX0I _{0}XX1I _{1}⑥ 회로도(1)2 TIMES 1 MUX를 설계하고 실험 후 그 결과를 확인하시오. ... 2)에서는4 TIMES 1 MUX를 설계하고 74153IC와 비교하는 실험을 하였는데, 입력신호가 4개여서 선택신호가 2개여야 4개의 출력을 얻을 수 있다.
    리포트 | 4페이지 | 1,000원 | 등록일 2019.06.21
  • [디지털시스템실험(Verilog)] Memory Top & Writeback 결과보고서
    때문에 OR gate를 사용하였다.다음은 MUX를 이용한 write1_gpr, write1_spr, write2_gpr, write2_spr의 할당이다. ... MUX는 memory controller의 addr값을 결정한다. em_sel_addr가 select bit이고, 0일때는 em_alu_result의 하위 19bit, 1일때는 em_data2의 ... 각각 MUX를 이용하여 결정한다. me_dq값이 0일 때는 md_data는 무조건 0이고, me_dq값이 1이고 em_mem_to_reg값이 0일 때는 md_data = rdata
    리포트 | 3페이지 | 2,000원 | 등록일 2011.10.05
  • 디지털논리회로실험(Verilog HDL) - Numbers and Displays
    N-bit MuxEx) Two 4-bit inputs, A(a3,a2,a1,a0), and B(b3,b2,b1,b0)-> 4-bit 2x1 mux (just four 2x1 muxes ... based on binary value of select inputs-N bits ->log _{2} N selects-Like a rail yard switch-Mux Internal ... Design-Mux Commonly Together ?
    리포트 | 11페이지 | 1,000원 | 등록일 2019.08.29
  • Soc FFT project 보고서
    //32mux_2x1 add_mux0( .select(En0), .iA(buffout0), .iB(add_out0), .oC(add_mux_out0));mux_2x1 sub_mux0 ... ), .out(in0));하나의버터플라이 - 1개Mux - 2개버퍼 - 1개복소수 곱셈기 - 1개이루어져 있다.입력 00010001(real 1, image 0)입력 00010001 ... 이 알고리즘은 분할 정복 알고리즘을 사용하며, 재귀적으로 n 크기의 DFT를 n = n1 n2가 성립하는 n1, n2 크기의 두 DFT로 나눈 뒤 그 결과를 O(n) 시간에 합치는
    리포트 | 10페이지 | 2,000원 | 등록일 2018.10.25
  • FINAL Project booth multiplier 와 carry Look ahead adder를 이용한 자판기 설계
    ), .b(go_hex[3]), .out(to_mux2_m[0]));twocomlement tow(.in(to_mux2_m[0]), .out(to_mux2_m[1]));mux2 mu0 ... .th(hex_mux[3]), .fihun(hex_mux[2]), .hund(hex_mux[1]),.fi(hex_mux[0]));assign in_mux[0] = {hex_mux[3 ... (.from_coin(to_mux2_c), .from_good(to_mux2_m[1]),.go_adder(adder_go));adder4bit adder0(.a(adder_go[3:
    리포트 | 22페이지 | 5,000원 | 등록일 2018.04.04
  • FPGA 디지털 시스템 설계 : 16:1 Mux 및 Hex to 7 segment 설계
    Verilog code//mux16x1.vmodule mux_16x1(i0,i1,i2,i3,i4,i5,i6,i7,i8,i9,i10,i11,i12,i13,i14,i15,sel,y);input ... i7,i8,i9,i10,i11,i12,i13,i14,i15;reg [3:0]sel;wire y;mux_16x1 m(.i0(i0),.i1(i1),.i2(i2),.i3(i3),.i4(i4 ... ; 5: y=i5;6: y=i6; 7: y=i7; 8: y=i8; 9: y=i9; 10: y=i10; 11:e tb_mux_16x1();reg i0,i1,i2,i3,i4,i5,i6,
    리포트 | 4페이지 | 1,000원 | 등록일 2012.06.18
  • 4x1 Verilog MUX 설계
    Instance를 통해서 2x1MUX를 구현하고 Testbench를 작성하여 구현한 2x1MUX를 Testbench를 통해서 검증할 수 있다.2.이론정리Instance:모듈은 실제 ... 진리표MUX카르노맵D0D1 / S01000111011111카르노맵을 통해서 얻은 부울대수식Y=(~S&D0)|(S&D1)3.구현내용구분이름설명Modulemx22x1 MUX module ... 이 회로를 확장하여 선택선 Select와 데이터 입력 Dn을 늘리게 되면 4x1 8x1 16x1등의 MUX를 만들 수 있다.SD0D1Y*************1111000101111001111MUX
    리포트 | 9페이지 | 1,500원 | 등록일 2010.12.21
  • 고려대 디지털시스템실험 (10주차 SImple Computer - Data Path)
    to-1 MUX로 구성된다.- 연산 수행 제어를 위해서 {Cin, S2, S1, S0}의 제어 정보가 입력된다.A-1 Arithmetic Circuit- Arithmetic Circuit은 ... {Cin, S2, S1, S0}의 ALU Selection Bit를 정의한다.▶ MD : Mux D, Destination Register에 Microoperation 수행 결과를 ... {Cin, S1, S0, A, B}의 입력을 통해 8가지의 산술 연산을 수행한다.- Arithmetic Circuit은 다음과 같은 회로 구성을 통해 구현할 수 있다.A-2 Logic
    리포트 | 10페이지 | 1,000원 | 등록일 2018.10.14
  • [Ayeun]컴퓨터구조 계산기 설계 보고서
    수행된다.설계에 사용할 TTL Logic74194 4-bit shift register74157 2-to-1 MUX7476 J-K flip-flop7474 D flip-flop#Contol ... #A,B Register, MuxMUX의 입력단에는 실제 데이터 입력값과 ALU의 연산 결과가 들어간다.MUX가 로드 될 때는 Enable이 활성돼야 한다.이 제어신호는 (T2+T4 ... 따라서 /T2+T4+T6가 된다.A Register에는 MUX에서 나오는 출력을 그대로 입력으로 연결해준다.Shift단자는 사용하지 않으므로 그라운드로 연결한다.클리어단자도 사용하지
    리포트 | 8페이지 | 3,000원 | 등록일 2018.12.21
  • 결과보고서 - Register
    , x3, s0, s1, output y);wire w0, w1;Mux_2to1 MUX_1 ( x0, x1, s0, w0 );Mux_2to1 MUX_2 ( x2, x3, s0, w1 ... s0, s1, output [3:0] y);wire [3:0] w0, w1;Mux_2to1_4bit MUX_1 ( x0, x1, s0, w0 );Mux_2to1_4bit MUX_2 ... Mux4to1_1( ToMUX_0, ToMUX_1, ToMUX_2, dummy, radd1[0], radd1[1], rdata1 );Mux_4to1_4bit Mux4to1_2( ToMUX
    리포트 | 6페이지 | 2,000원 | 등록일 2017.11.08
  • A+ 미시경제학 수원대학교
    완전대체제: 기울기-1인 선분 , 완전보완제(신발) ㄴ자모양(기울기x)50.소비자의 균형조건은 MUX/PX = MUY/PY 이다. ... 자유시장 경제에서는 (시장)에서 (수요)와 (공급)이 만나 결정되는 (가격)이 이 선택을 담당한다.2.경제학을 선택의 학문이라고 하는 이유는? ... 그 조정과정을 설명하고 이를 이용하여 수요의 법칙을 설명하시오.예산기울기(Px/Py)=무차별곡선기울기(MUx/MUy)=균형=이윤극대화MUx/Px=MUy/Py..
    리포트 | 10페이지 | 3,000원 | 등록일 2018.10.12
  • 전기전자기초실험 Combination Logic Circuit Design 결과레포트 (영어)
    MUX(M2),MUX_2_TO_1 M3(W1,W2,Y,S2); //and the output of M1,M2 go to 2 to 1 MUX(M3). ... //After pass through 4 to 1 MUX, W1 and W2 go to 2 to 1 MUX.MUX_4_TO_1 M1(I0,I1,I2,I3,W1,S0); //Finally ... ,S1) 4 to 1 MUX,input [1:0] S0,S1; //and one(S2) 2 to 1 MUX one another.output Y;reg Y;wire W1,W2; //
    리포트 | 7페이지 | 1,000원 | 등록일 2017.12.01
  • 시립대 전전설2 [5주차 예비] 레포트
    PreLab 2(Mux와 Demux의 기능에 대하여 각가 조사하고, 예를 들어 설명하시오.)Mux와 Demux의 기능에 대하여 각가 조사하고, 예를 들어 설명하시오.멀티플렉서 또는 ... 그림 4-1에 입력선이 2개, 출력선이 2개=4개인 2-to-4 디코더를 나타내었다. ... 그림에서 예를 들어 AB 입력 값이 01일 경우에는 출력선 D1만이 1이고 나머지 출력선 D0 D2 D2은 모두 0이 되며 나머지 입력값의 조합에 대해서도 한 출력선이 나머지 출력선과
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 결과보고서 - 4bit ALU
    [4:0] w0, w1;mux2to1 m2to1_0 (x0, x1, s0, w0);mux2to1 m2to1_1 (x2, x3, s0, w1);// if D5, D6, D7, D8, ... 만들 2 to 1 Mux를 이용하여 4 to 1 Mux를 만든다.이전 페이지의 그림을 참고하여 코드를 살펴보자. 4 to 1 Mux를 만들기 위해 2 to 1 Mux 3개를 사용했으며 ... Mux을 만들기 위해 먼저 2 to 1 Mux를 만들었다.module mux2to1 (x0, x1, s, y);input [4:0] x0, x1; input s; output [4:
    리포트 | 7페이지 | 2,000원 | 등록일 2017.11.08
  • CRPWM을 이용한 PMSM 속도제어
    이제 그림 [3.3.6]에서 왼쪽 위에서 아래로 Mux 1,2,3번이고 오른쪽 위에서 아래로 Mux 4,5,6번이다. 1,4번과 2,5번과 3,6번은 서로 위상이 반전된 파형이 형성됨을 ... [그림 3.3.3]의 위쪽의 Relay Block을 통과한 신호는 Mux1번에, NOT Gate를 통과한 신호는 4번에 인가된다. ... Closed Loop Model3.1 Closed loop control system[그림2.3.1 PMSM control in clos 하므로 2/3에 해당하는 gain을 통과하여
    리포트 | 19페이지 | 3,000원 | 등록일 2018.11.18
  • 서울시립대 전자전기컴퓨터설계실험2 제06주 Lab05 Post
    bit 2 * 1 Mux 설계2-bit 2 * 1 Mux Simulation Resultbus switch 8이 1일 경우 LED 1, 2는 bus switch 1, 2의 상태와 동일할 ... 따라서 2-bit 2 * 1Mux가 잘 동작한다는 것을 알 수 있다.4-bit 4 * 1 Mux 설계Input Select는 bus switch 1, 2를 사용하고, Input A는 ... 결과, 2-bit 2 * 1 Mux가 정상 작동함을 확인할 수 있었다.4-bit 4 * 1 MuxPre-Lab과의 비교 결과, 4-bit 4 * 1 Mux가 정상 작동함을 확인할 수
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 금오공대 전자공학부 컴퓨터구조 ㅅㅇㅎ 2012년 2학기 중간시험 족보
    금오공대 전자공학부 컴퓨터구조 성영휘교수님 2012년 2학기 중간시험 족보1. 반가산기와 전가산기의 차이점에 대해서 설명하시오.(1점)2. ... MUX에 대해서 자세히 설명하시오.(2점)3. 컴퓨터에서는 뺄셈, 곱셈, 나눗셈을 덧셈으로 수행 할 수있다. 어ㄸ? ... ㅎ게 하는지 설명하시오. (3점)4. 4개의 2비트 레지스터 A, B, C, D에 대한 버스르르 MUX를 이용하여 구성하고 그림으로 나타내어라.(4점)5.
    시험자료 | 2페이지 | 12,000원 | 등록일 2018.05.05 | 수정일 2022.05.07
  • 결과보고서 - NH800 을 위한 ALU Decoder
    two 1-bit selectorswire [4:0] w0, w1;mux2to1 m2to1_0 (x0, x1, s0, w0);mux2to1 m2to1_1 (x2, x3, s0, w1 ... w0 or w1; w0 for x1, w1 for x0endmodule위에서 만든 2 to 1 Mux를 이용하여 4 to 1 Mux를 만든다.4 to 1 Mux를 만들기 위해 2 ... to 1 Mux 3개를 사용했으며 s0 는 처음 4개의 신호 중 2개를 고르고 s1이 그 둘 중 하나를 고른다.module mux4to1 (x0, x1, x2, x3, s0, s1,
    리포트 | 8페이지 | 2,000원 | 등록일 2017.11.08
  • 서울시립대 전자전기컴퓨터설계실험2 제06주 Lab05 Pre
    [실험 3] 2-bit 2 * 1 Mux 설계Add SourceSource Code모듈을 지정해주고, 2개의 2-bit Input A, B와, 1-bit Input S을 선언해준다. ... [실험 2] 1-bit 2 * 1 Mux설계Add SourceSource Code모듈을 지정해주고, 사용할 Input 및 Output을 지정한다. ... 또한 S = 1인 경우, Output Q = Input A임을 알 수 있다. 이로써 2-bit 2 * 1 Mux가 잘 동작함을 확인할 수 있다.
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • [mahobife]디지털회로실험 멀티플렉서, 디멀티플렉서, RS래치, RS플립플롭 결과보고서입니다.
    멀티플렉서2 X 1 MUX 구성도진리표논리식 :Y``=``barS I_0``+``S I_12 X 1 MUX 회로도실험결과enable 신호추가 회로도실험 결과논리식 :Y``=``barS ... 이번에 처음으로 0 V에서 벗어난 전압이 0 논리로서 측정된 것이다.MUX의 경우 이름도 거창하고 실제 차원이 올라가면 복잡하게 형성된 회로이지만 실험에서처럼 가장 간단한 2 X 1 ... 목적1. 멀티플렉서의 의미와 동작 이해2. 디멀티플렉서의 의미와 동작 이해3. 멀티플렉서와 디멀티플렉서의 응용 회로 이해4. RS 래치와 RS 플립플롭의 이해5.
    리포트 | 5페이지 | 1,000원 | 등록일 2017.10.09 | 수정일 2017.10.11
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:46 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대