• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(780)
  • 리포트(753)
  • 시험자료(17)
  • 자기소개서(6)
  • 방송통신대(4)

"4bit adder" 검색결과 181-200 / 780건

  • [검증된 코드 & 복사가능, 학점A+] 전전설2 4.Combinational-1 - 예비+결과+성적인증 (서울시립대)
    Gain multi-bit adder and comparator design capabilities배경 이론 및 사전 조사 실험 전에 조사한 답과 다른 것을 우선 순위로 작성하였다. ... statement2.Verify the circuit with its test fixture3.Practice structural modeling with module instantiation4.
    리포트 | 12페이지 | 3,500원 | 등록일 2021.07.10 | 수정일 2021.07.12
  • 2020컴퓨터과학과 레포트
    사용되고 있는 조합회로의 종류로는 adder, Compapator, Decoder, Demux 등등이 있다.Compapator이란 A ... 하지만 내부단편화가 발생하지 않는다라는 페이징 기법과는 상반되는 장점을 가지고 있다.4. ... 부호화-크기, 2.2의보수 이 두가지 표현법이 이용된다.부호화 크기 표현은 맨 왼쪽 비트는 부호비트로, 나머지 n-1개의 비트들은 수의 크기로 나타내는 방식으로서 맨 왼쪽의 부호비트
    방송통신대 | 6페이지 | 3,000원 | 등록일 2022.05.30
  • 충북대 기초회로실험 4-비트 산술논리회로 결과
    먼저 1 bit Full adder와 2x1 multiplexer, 4x1 multiplexer를 만들었는데 처음 사용해보는 프로그램이라 사용이 미숙하여 시간이 좀 오래 걸리긴 했지만 ... BXOR111xF =bar{B} 보수비고 및 고찰이번 실험에서는 Pspice를 이용하여 몇 가지 회로를 설계해 보고, 최종적으로 4-bit 산술논리회로를 설계하여 시뮬레이션을 해 보는 ... 실험 12. 4-비트 산술논리회로(결과보고서)실험 결과(1) Pspice를 이용하여 의 (a)와 같이 1비트 전가산기를 그리고 시뮬레이션을 한 다음 (b)와 같이 심볼화 하라.(2)
    리포트 | 5페이지 | 1,000원 | 등록일 2021.09.10
  • multiplexer 가산-감산 예비보고서(고찰포함)A+
    컴퓨터는 전가산기를 반가산기 (half adder)라고 부르는 2개의 입력 회로와 조합시켜, 동시에 4개 비트 또는 그 이상의 덧셈을 할 수 있다.감산기디지털 신호를 사용하여 뺄셈 ... 예비보고서Multiplexer 가산 – 감산실험 목적전가산기 구성을 위해 2개의 4입력 multiplexer 사용을 익힌다.2개의 4-입력 multiplexer을 감산기로 사용하는 ... 전가산기 는 3개의 디지털 입력(비트)을 받고, 2개의 디지털 출력(비트)을 생성한다.
    리포트 | 6페이지 | 2,000원 | 등록일 2024.04.19 | 수정일 2024.04.21
  • 디지털공학개론(반가산기 전가산기, 고속가산기, 비교기, 디코더, 인코더, 멀티플렉서, 디멀티플렉서 )
    이때, 아래 자릿수에서 발생한 캐리까지 포함하여 세 비트를 더하는 논리회로를 전가산기(Full adder)라고 한다.3개의 입력과 2개의 출력으로 구성되어있다.S = (1,2,4,7 ... z2개의반가산기와 1 OR 게이트로 구현4) 디코더 (Decoder)디코더는 인코더와 정반대 기능을 수행하며, n 비트의 2진 코드 입력에 의해최대 2ⁿ개의 출력이 나오므로 가능한 ... 불 대수를 사용한다.4.
    리포트 | 6페이지 | 8,000원 | 등록일 2021.11.29
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 9차 예비보고서
    아날로그 및 디지털 회로 설계 실습예비보고서설계실습 9. 4-bit Adder 회로 설계소속전자전기공학부학수번호실험 조x조조원 이름작성자실험날짜2023.11.16제출날짜2023.11.161 ... gate 74HC08OR gate 74HC32Inverter 74HC04NAND gate 74HC00NOR gate 74HC02XOR gate 74HC86LEDswitch10개5개5개4개5개5개2개10개10개사용장비오실로스코프 ... 이에 따라 2-Bit 가산기 회로 설계 시 XOR gate를 사용하여 설계하였다.2-Bit 가산기는 두 개의 Bit를 가지는 두 이진수를 더하는 장치이다.아래는 작동 원리이다.A1A0
    리포트 | 8페이지 | 1,000원 | 등록일 2024.02.17
  • VHDL_2_MUX,DEMUX,비교기,ALU,Hamming code
    이론과 똑같은 동작을 한다.실습제목: 8bit Adder + FND1. 주제 배경 이론기존에 제작했던 8bit adder를 이용해서 FND 출력을 한다. ... 메시지 비트4bit면 다음과 같이 만든다.p2 = m0 xor m1 xor m3p1 = m1 xor m2 xor m3p0 = m0 xor m1 xor m2그렇다면 다음과 같은 표가 ... 여기서는 4bit의 두 신호를 입력 받고 2개의 신호로 연산을 선택하여 결과를 출력할 것이다.
    리포트 | 35페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 서강대학교 디지털논리회로실험 - 실험 8. Multiplier Design 결과 보고서
    실험개요1) 4비트 곱셈기의 구조와 원리를 이해한다.2) 팀 단위로 디지털 회로 설계하는 방법을 이해 한다2. 퀴즈 답안지 및 정답-퀴즈 없음3. 실험노트-실험 노트 없음4. ... 결론 및 검토사항Full adder, half adder와 and gate의 기능을 이용하여 multiplier를 설계하였다. ... Full adderc1 -> carry input, c -> output에서의 carry실험 시 full adder의 동작을 확인해본 결과 덧셈이 잘 이뤄지는 것을 확인할 수 있었다
    리포트 | 4페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • Verilog를 이용한 고성능의 16비트 adder를 설계
    마이크로프로세서 Adder 설계2000 년 0 월 00 일마이크로프로세서1. 설계목적Verilog HDL을 이용하여 고성능의 16비트 adder를 설계한다.2. ... (1.4㎱)Fig. 3 Delay of radix-4 Koggie-Stone Adder (0.8㎱)Fig2.를 보면 radix가 2인 경우는 4개의 logic level을 거치기 ... 위에서부터 연산인자 A, B, 그리고 보수 여부를 결정하는 ci, 연산결과 값 sum, co 순서이다.Fig. 1 Waveform of radix-4 Kogge-Stone Adder01F4
    리포트 | 3페이지 | 1,500원 | 등록일 2020.04.15
  • 디지털 논리회로 실험 5주차 Adder 예비보고서
    조사하시오.LSB : Least Significant Bit의 약자로 최하위 비트 즉, 이진수 숫자 중에서 마지막 자리수를 뜻한다.MSB : Most Significant Bit의 ... 약자로 최상위 비트 즉, 이진수 숫자 중에서 제일 큰 자리수를 뜻한다.4. ... 실험 목적이진 덧셈의 원리를 이해하고 반가산기(half adder)와 전가산기(full adder)의 동작을 확인한다.2.
    리포트 | 9페이지 | 1,500원 | 등록일 2021.04.22
  • 전가산기에 대한 덧셈의 원리
    먼저 3과 5를 2의 보수로 표현하면 0011, 0101이고 두 수는 4비트이므로 4개의 전가산기를 거치게 된다. 4개의 전가산기는 그림 1, 2, 3, 4로 표현하겠다.그림 SEQ ... 먼저 5와 3을 2의 보수로 표현하면 각각 0101, 0011으로 4비트의 두 수의 덧셈이 된다. ... 가산기(Adder), 언제나 휴일 출판사 사이트, Hyperlink "http://ehpub.co.kr/tag/%EC%A0%84%EA%B0%80%EC%82%B0%EA%B8%B0full-adder
    리포트 | 6페이지 | 2,500원 | 등록일 2020.12.22
  • D Flip-Flop을 활용한 십진 감가산기
    DIP 스위치(5bit,1bit)- 3개계산 모듈7432 OR Gate & 7408 AND Gate 5개 사용7486 XOR Gate 6개 / 7483 4bit FULL Adder ... 클럭을 2개의 D Flip-flop에 연결하여 Positive Edge에 작동하는 D Flip-Flop 과 Negative Edge에 작동하는 D Flip-Flop을 만들어 7483 4bit ... Adder에 1개의 스위치로 두 입력 값을 인가하여 계산 할 수 있도록 설계했다.입력모듈 오류: 스위치의 움직임에도 D Flip-Flop이 진리표와 맞지 않는 출력되는 문제가 있었다
    리포트 | 13페이지 | 3,500원 | 등록일 2022.05.01
  • 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    병렬 가산기4비트 가/감산기가산기감산기멀티플렉서결과 분석 및 토의2비트 가산기그림 1의 회로를 구성하고 그를 이용해 진리표를 작성하였다. ... NAND를 사용함으로 얻을 수 있는 이익으로는 NOT을 포함하여서 AND보다 표현할 수 있는 것이 많고, 집적도가 높기에 동일공간에 더욱 많은 소자를 넣을 수 있다는 점이 있다.4비트 ... 가/감산기4비트 가/감산기 회로를 구성하여 가산기와 감산기로 작동하는지 확인하였다.그림 2의 회로에서 스위치를 닫는 경우 외부전원인 VCC가 접지로 들어가 입력에 영향을 주지 못하여
    리포트 | 10페이지 | 1,000원 | 등록일 2022.03.03
  • 디시설, 디지털시스템설계 실습과제 12주차 인하대
    그림 \* ARABIC 4 : 테스트 벤치 코드그림 SEQ 그림 \* ARABIC 5 : 모듈 구현결과(총 32bit이고(32개의 1비트 ALU모듈)(확대하시면 잘 보입니다.)순서대로 ... 32-bits ALU그림 SEQ 그림 \* ARABIC 1 : ALU_1 모듈(0~30bit까지 사용하는 모듈) 코드그림 SEQ 그림 \* ARABIC 2 : ALU_2 모듈(최상위 ... 31bit) 코드그림 SEQ 그림 \* ARABIC 3 : ALU_TOP(총 32bit ALU로 ALU_1, ALU_2를 hierachical 하게 묶어서 구현) 모듈그림 SEQ
    리포트 | 8페이지 | 1,500원 | 등록일 2021.08.31
  • vhid 전가산기 이용 설계 보고서
    bit Full Adder을 verilog의 simulation 결과를 통해 얻은 RTL 모델전가산기 코딩으로 인한 시뮬레이션 결과4-bit-fullAdder 코드에는 X, Y, Z에 ... 전가산기는 3비트 입력과 2비트 출력으로 구성되며 2진수를 덧셈하는 가산기다. ... 이 회로는 3비트 입력과 2비트 출력으로 구성되며, 입력 중 가장 마지막 비트는 아랫자리에서 올라오는 캐리를 나타낸다. 3비트 덧셈결과는 0~3까지의 범위를 가지므로 출력은 최소한
    리포트 | 6페이지 | 1,500원 | 등록일 2020.12.11
  • [전자회로] Pspice (전가산기와 반가산기) 실험 레포트
    )- 덧셈해야 할 2개의 비트와 다른 숫자 위치(digit position)에서 보내 온 자리 올림 비트를 받아 2개의 출력, 즉 합과 새로운 자리 올림수(result carry)를 ... 원리◆반가산기 (half adder)- 두 개의 2진수 한자리를 입력하여 합(sum)과 캐리(carry)를 구하는 덧셈 회로. ... y), c=z(xy' + x'y)+xyb) S = x'y'z +x'yz'+xy'z'+xyz, c=xy+xz+yz4.
    리포트 | 6페이지 | 2,000원 | 등록일 2020.11.30
  • 전자전기컴퓨터설계실험2(전전설2) (1) TTL Gates Lab on Breadboard
    Half Adder (14)3.4. Full Adder (16)Ⅲ. 결론 (18)Ⅳ. ... Half Adder (11)2.4. Full Adder (11)3. 실험 결과 (12)3.1. OR Gate (12)3.2. XOR Gate (13)3.3. ... XOR Gate (04)2.4. Adder (06)Ⅱ. 본론 (08)1. 실험 장비 (08)2. 실험 방법 (10)2.1. OR Gate (10)2.2.
    리포트 | 19페이지 | 2,000원 | 등록일 2019.10.06 | 수정일 2021.04.29
  • 아날로그및디지털회로설계실습 래치와플립플롭
    아날로그 및 디지털회로 설계실습예비 REPORT9. 4-bit Adder 회로 설계분 반교 수 명실험 날짜제출 날짜조학 번이 름요약 : 순차식 논리회로의 기본 소자인 래치와 플립플롭의 ... 기존의 학습했던 내용을 토대로 실습을 하면서 이해도가 높아졌습니다.4. 참고문헌- 아날로그 및 디지털회로 설계실습 교재
    리포트 | 3페이지 | 1,000원 | 등록일 2021.12.15
  • BCD(Binary-Coded-Decimal code)에서 다음 물음에 답하시오. 1) 구현 방법2) 가 산 법3) 47+35의 BCD 가산과정
    BCD 가산법(BCD adder)? ... 더해준 후에 생긴 캐리는 다음 4비트 그룹에 더해준다.여기서 중요한 것은 4-비트 그룹으로 쪼개서 각각의 경우에 대해 위의 규칙을 대입해야 한다는 것이다.3. ... BCD(Binary-Coded-Decimal code) 구현 방법BCD 코드는 10진수의 각 비트를 4비트의 2진수로 나타낸다.1010, 1011, 1100, 1101, 1110과
    리포트 | 5페이지 | 6,000원 | 등록일 2020.07.01
  • 논리회로 족보
    0 111c) 2‘s complement로 표현된 4-bit 수 1100은 양수 누구의 보수(음수)인가? ... (각 4점)a) 십진수 105를 2진수로 변환하시오. 110 1001b) 4-bit 2진수에서 2‘s complement(보수) ’1101 + 1010‘의 덧셈을 하고, overflow ... 2진수를 더하는 adder(덧셈기; full adder)의 진리표를 구하고,출력s와c_o의 식을 구하시오.
    시험자료 | 4페이지 | 1,500원 | 등록일 2020.11.03
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:02 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대