• 통큰쿠폰이벤트-통합
  • 통합검색(237)
  • 리포트(228)
  • 시험자료(7)
  • 논문(2)

"4to1멀티플렉서" 검색결과 181-200 / 237건

  • 실험4.디코더인코더 및 다중화기역다중화기 7세그먼트 디코더
    그림 7에 4-to-1 멀티플렉서 회로를 나타내었다. ... I2의 값이, s1s0=11일 경우에는 입력 I3의 값이 출력 F로 나가게 됨을 나타낸다.. 4-to-1 멀티플렉서다중화기 기능을 수행하는 TTL 종류에는 다음과 같은 종류가 있다 ... .741518-Line-to-1-Line Multiplexer74153Dual 4-Line-to-1-Line Multiplexer74157 Quad 2-Line-to-1-Line Multiplexer74158
    리포트 | 6페이지 | 1,500원 | 등록일 2008.05.25
  • Encoder, Decoder, MUX(Multiplex)의 작동원리 및 특징 실험 레포트
    1) 74LS153을 사용하여 4 to 1 MUX의 동작을 제작하여 검증하라.1. ... 1.TitleEncoder, Decoder, MUX(Multiplexer)의 작동원리 및 특징에 대하여 이해한다.2.Name3.Abstract1) 74LS153칩을 이용하여 4 to ... 2진수로 22+20 AND Gate에 입력되고, 두 개의 선택 입력에 의해 AND 게이트의 출력등 중 하나를 선택한다.4 X 1 멀티플렉서 함수표SelectOutputsS1S0Y00I001I110I211I35
    리포트 | 25페이지 | 3,000원 | 등록일 2010.06.09
  • 멀티플렉서와 디멀티플렉서
    그림 4-11에 4-to-1 멀티플렉서 회로를 나타내었다. ... 아래그림은 1-to-4 디멀티플렉서 회로를 나타내었다.디멀티플렉서(DEMUX)는 MUX와 반대의 개념으로 하나의 입력을 여러 개의 출력선 중 하나를 선택하여 연결해주는 데이터 분배기이다 ... 실험과정 및 결과 예측- 그림 8-10의 4×1멀티플렉서와 같은 회로를 구성하고 입력 S, A, B의 변화에 따른 출력을 측정하여 표 8-3을 완성함에 있어 전송된 데이트이 번호를
    리포트 | 5페이지 | 1,000원 | 등록일 2007.01.11
  • 디코더와 엔코더예비레포트
    그림 1-1에서는 2-to-4디코더이다. ... 논리선도와 진리표를 보면 표1과 같다.그림1-1다음은 2입력4출력에대한 디코더의 진리표이다.입력출력EABabcd0xx0000*************01100100111100074LS138은 ... ====I8 + I9I4 + I5 + I6 + I7I2 + I3 + I6 + I7I1 + I3 + I5 + I7 + I9이 되므로 그림 3과 같은 인코더회로를 구성할 수 있다.그림
    리포트 | 5페이지 | 1,000원 | 등록일 2007.04.03
  • 디지털공학의 기본개념 이해
    또한 멀티플렉서의 선택입력 S는 마이크로프로세서의 Control section의 신호에 의하여 제어되는데, S값에 따라 PC 또는 MAR 이 선택되어 어드레스 버스로 보내진다.(4) ... [예] 28h의 2의보수를 구하는 예0010 1000 (2진법) → 1101 1000 (2의 보수 표현값)4] 컴퓨터가 계산하는 2의 보수 방식[예 1] a의 1의 보수값을 출력하라는 ... 그림에서처럼 PC 및 MAR 출력이 멀티플렉서에 출력되고 있다. 멀티플렉서의 출력은 어드레스 버퍼를 거쳐 어드레스 버스에 연결되어지고 있다.
    리포트 | 18페이지 | 1,000원 | 등록일 2008.09.13 | 수정일 2017.09.25
  • 디지털 회로 실험 / 인터비젼 / 예윤해, 정연모, 송문빈 / 6,7장(7-세그먼트 디코더, 래치, 플립플롭, 시프트레지스터) 결과보고서
    실험 6 : 7-세그먼트 디코더1) 실험(1) 74151 TTL (8-to-1 멀티플렉서)의 동작확인.0123456789< 실험결과 확인 >< 진리표 >이번 실험은 7-세그먼트 디코더를 ... 가지고 7-세그먼트가 출력이 되는지 확인해보는 실험이었다. 7-세그먼트 디코더는 2진 4bit가 입력으로 있고 출력은 16개가 있다. ... D-래치는 SR레치랑 똑같지만 SR레치의 S=0,R=0과 S=1,R=1 값을 없애고 S=0,R=1 S=1,R=0값만 나오게 하는 실험이었다.
    리포트 | 3페이지 | 1,000원 | 등록일 2009.05.07
  • [디지털 논리설계 실험]디코더/인코더 및 다중화기/역다중화기
    < 1-to-4 디멀티플렉서 > ... 데이터 선택기(data selector)라고도 한다.< 4-to-1 멀티플렉서 진리표 >입 력출 력ABD0D1D2D3Y00XXXXD001D110D211D3< 4-to-1 멀티플렉서 ... 개의 출력선 중의 하나에 분배하므로 데이터 분배기(data distributer)라고도 한다.< 1-to-4 디멀티플렉서 진리표 >Select출 력ABD0D1D2D3001000010100100010110001
    리포트 | 6페이지 | 1,000원 | 등록일 2006.04.25
  • [RFID]5장연습문제풀이
    에너지 제한 조건과 총 비트 수는 다음과 같다.sum from {n=1} to {N} E_n = {E_total},b = sum from {n=1} to {N} log_2 (1+ { ... ,g_n ={ LEFT | H(omega) RIGHT |^2 } over 2sigma_n^2를 의미한다.ANSI T1E1.4, ETSI, ITU-T와 같은 표준화 기구에서는 ADSL ... CDOTS,N,b = sum from {n=1} to {N} log_2 (1+ {E_n cdot g_n} over GAMMA )위와 같이 구한 부채널 별 비트 수는 정수가 아닌 실수이다
    리포트 | 5페이지 | 1,000원 | 등록일 2010.04.26
  • 결과 리포트 - 비동기계수회로, 동기계수회로, 복호기와 부호기, 멀티플렉서와 디멀티 플렉서
    따라서 이 실험은 잘 된 것으로 볼 수 있다.실험 15 - 이 회로는 2개의 입력인 A, B에 따른 결과값을 확인해보는 2-to-4 Line Decoder이다. ... 실제 이론값과 같아서 회로가 잘 구성되었다는 것을 확인하였다.실험 16 - 이 회로는 두개의 7411(AND gate)과 7404(NOR gate)를 이용해서 4채널 디멀티플렉서의 ... 멀티플렉서와 디멀티플렉서실험 11.
    리포트 | 8페이지 | 1,500원 | 등록일 2007.06.16
  • 조합회로 설계 실험-결과레포트
    결과보고서① 2x1 멀티플렉서와 4x1 멀티플렉서를 이용하여, 8x1 멀티플렉서를 구현하고 동작을 확인하시오.module MUX_8_TO_1 (I0, I1, I2, I3, I4, I5 ... MUX 하나 선언MUX_4_TO_1 M2 (I4, I5, I6, I7, w2, S2);MUX_2_TO_1 M3 (w1, w2, Y, S0);endmodulemodule MUX_2_ ... Y;wire w1, w2; //4:1MUX와 2:1MUX사이의 wire 선언MUX_4_TO_1 M1 (I0, I1, I2, I3, w1, S1); // 4:1 MUX 두개와 2:1
    리포트 | 8페이지 | 1,000원 | 등록일 2006.11.23
  • [공학]조합논리회로
    출력선으로 내보내짐.멀티플렉서41 멀티플렉서2. ... 멀티플렉서와 디멀티플렉서의 구조와 동작 원리를 설명할 수 있다. 2. 4 X 1 멀티플렉서를 설계할 수 있다. 3. 1 X 4 디멀티플렉서를 설계할 수 있다.개요1. ... 입출력변수입력 : I , s1 s0 출력 : D0 D1 D2 D37세그먼트 표시기7세그먼트 표시기BCD-to-7세그먼트 디코더입력변수: 출력변수:A, B, C, D a ,b ,c ,
    리포트 | 28페이지 | 2,000원 | 등록일 2007.04.10
  • 8-3 인코더, 3초과 코드 가산기
    참고를 위해 다음 4-to-2 인코더를 보도록 한다. 이는 수업 자료에 나타나 있는 내용이다.먼저 8-to-3 인코더를 위한 진리표를 만들어 보기로 한다. ... Chapter.3에서 인코더의 정의와 그 동작, 우선순위 기능을 갖는 4-to-2 인코더의 설계 방법을 배운 바 있기에, 8-to-3 우선순위 인코더 역시 그 설계 방법에 따라 설계를 ... 먼저 3초과 코드의 덧셈이라고 해도, 첫 번째로는 1개의 반가산기와 3개의 전가산기를 이용해 만든 4비트 덧셈기를 통해 가산 연산을 수행하도록 한다.
    리포트 | 11페이지 | 2,000원 | 등록일 2009.06.10 | 수정일 2021.01.17
  • 디지털 함수발생기 설계
    이로 인해 -4V ~ +4V까지 Peak to Peak 전압을 출력할 수 있었다. KVL 법칙을 이용하여 전압을 시프트 한 회로를 그림 32에 나타내었다.(a)(b)그림 32. ... 발진기 회로 구성그림 30을 보면 알 수 있듯이 R1과 R2, 가변저항, C1 모두 4가지 소자값이 변경 되었다. ... 멀티플렉서에서 증폭기까지의 회로도멀티플렉서의 스위치를 사용하여 선택적으로 발생된 신호가 증폭기를 거쳐 출력되는 장면을 직접 시물레이션하여 그림 16에 각각 나타내었다.
    리포트 | 28페이지 | 3,000원 | 등록일 2008.12.15
  • [디지털]멀티플렉서와 디멀티플렉서(사전)
    종종 비트 수만큼의 멀티플렉서를 사용하여 여러 다중비트 입력들 중에서 하나를 고르는데 사용된다.아래 그림에 4-to-1 멀티플렉서 회로를 나타내었다. ... 멀티플렉서와 디멀티플렉서1. ... 입력선이 4개일 때 신호선에 따라 각각 A, B, C, D의 신호를 내보내야 하기 때문에 4개를 구분할 수 있는 비트수인 2개의 신호선을 이용한다.)
    리포트 | 3페이지 | 1,000원 | 등록일 2005.11.20
  • [논리회로설계실험]논리회로설계실험 제 10장 Multiplexer와 Demultiplexer
    4 to 1 Line Multiplexer)ㆍ74139 (2조 2 to4 Line Decoder)4. ... [표 10-1]② 멀티플렉서 기능의 IC 74153은 [그림 10-4]와 같다.74153칩을 사용하여 핀 구성을 [그림 10-5]와 같이 하여 4 to 1 Multiplexer 회로를 ... 실험 순서(1) 4 to 1 Multiplexer① 4 to 1 Multiplexer 회로를 [그림 10-3]과 같이 구성하고, 선택 단자에 따른 출력상태를 [표 10-1]에 기입하라
    리포트 | 5페이지 | 2,000원 | 등록일 2005.04.09
  • 의사결정트리 분석법을 이용한 연간 총소득 항목별 노인의 특성 분석
    약 4.4배 차이를 보였다.제 3 장 노인 경제상태 의사결정트리3.1 전체 시스템 구성 및 프로세스 구조[그림 3-1] 데이터 마이닝의 프로세스본 연구의 진행 절차는 [그림 3-1 ... 결정 트리로는 배타적 논리합이나 멀티플렉서, 패리티와 같은 문제를 학습하는데 어려움이 있다. ... 장 서론 11.1 연구의 필요성 및 목적11.2 연구내용 및 방법4제 2 장 관련 연구 52.1 의사결정트리 알고리즘52.2 노인실태조사13제 3 장 노인 경제상태 의사결정트리 153.1
    논문 | 41페이지 | 6,000원 | 등록일 2018.12.29
  • VHDL-Pre lab - Mux and DeMUX
    8개의 출력 신호인 Q7’,Q6’,Q5’,Q4’,Q3’,Q2’,Q1’,Q0’ 들로 되어있다. ... 보다 쉽게 이해할 수 있다.1> DEMUX (Demultiplexer) (Data Distributors)디멀티플렉서는 입력의 논리 값을 여러 개의 출력라인 가운데 하나를 선택하여 ... 따라서, 1:2 DEMUX 의 진리표는 다음과 같다.간단하게 1 to 2 DEMUX 에 대해 알아보았다.
    리포트 | 13페이지 | 2,000원 | 등록일 2009.06.29
  • [기계공학실험] - DAQ
    3) Input/Output system의 구성3-1) Function generator4) Oscilloscope3. 실험 방법4. ... 참고문헌1 PAGE2 PAGE3 PAGE4 PAGE5 PAGE6 PAGE7 PAGE1 PAGE2 PAGE3 PAGE4 PAGE실험 요약실험 목적DAQ(Data Acquisition) ... 아날로그 장비들은 Analog-to-digital converter를 통하여 디지털 장비에 신호를 전달하며, 디지털 장비의 디지털 신호는 Digital-to-analog converter를
    리포트 | 10페이지 | 1,000원 | 등록일 2009.06.02
  • [MIS 수업 리포트]디지털 컨버전스- DMB 서비스
    Filler))C&S마이크로, 기산텔레콤, 서화정보통신, 매커스,쏠리테크 등지상파 DMB사업자지상파TV:KBS, MBC, SBS비지상파TV:YTN, 한국DMB, QBS, MTN 1to1방송장비온타임텍 ... 당 1.54Mbps의 대역폭을 할당할 수 있다. .1개 사업자의 대역폭은 약 1.5MHz 정도로,1~2개의 동영상과 3~4개의 오디오, 데이터 방송의 구현이 가능하며 TV,휴대폰, ... 지상파 DMB의 한 개 멀티플렉스가 비디오 1~2개를 포함해 약 4개의 채널을 을 이룬다.
    리포트 | 14페이지 | 1,000원 | 등록일 2010.12.02 | 수정일 2014.11.10
  • [논리회로] 멀티플렉서 및 디멀티플렉서
    아래 그림에1-to-4라인 디멀티플렉서가 나와 있다. ... {그림 4. 1-to-4라인 디멀티플렉서{3. 회로도4. 부품 목록NOT : 7404AND : 7408OR : 74325. ... 그 예로 4개의 2-to-1라인 멀티플렉서가 아래 그림에 나와있다. 이 회로는 2개의 입력선 중 하나를 선택할 수 있는 4개의 멀티플렉서를 가지고 있다.
    리포트 | 7페이지 | 2,000원 | 등록일 2004.07.23
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:36 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대