• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(478)
  • 리포트(460)
  • 시험자료(14)
  • 논문(2)
  • 방송통신대(2)

"7비트세그먼트출력" 검색결과 181-200 / 478건

  • 스톱워치 구현 보고서
    하지만 무시할 수 있을 정도의 짧은 시간이므로 6진 카운터로 동작한다.4) 7세그먼트(FND)7세그먼트는 LED 8개가 내장되어 있는 소자로, 7개의 LED를 조합하여 숫자와 문자를 ... 즉 n비트의 2진 입력 신호를 2n개의 서로 다른 출력 신호로 변환하는 논리회로이다. ... 그림 (a)와 같이 8개의 세그먼트는 위쪽부터 시계 방향으로 a부터 h까지 이름을 붙인다.
    리포트 | 13페이지 | 2,000원 | 등록일 2013.12.03
  • [토끼] 어셈블리어 Load-EFFECTIVE ADDRESS, STRING DATA TRANSFERS, MISCELLANEOUS DATA TRANSFER 에뮬결과, 코드리뷰
    어셈블리언어 chapter4 코딩연습※ 4-1, 4-2, 4-4(32BIT 에뮬불가), 4-6 은 실습 예제가 없어서 4-3부터 시작합니다.Example 4-3 Load-EFFECTIVE ... 밑은 소스코드 해석이고 에뮬레이터로는 해석 할 수 없었다.MOV DI,OFFSET LISTS // 옵셋 어레이를 어드레스 한다.MOV DX,3ACH // 입출력을 어드레싱한다.CLD ... ADDRESS이 코드는 DATA2, DATA1, DI와 SI를 LOAD 하는 코드이다.LEA 명령어는 16비트 혹은 32비트 레지스터를 OPERAND에 특성화된 데이터의 옵셋주소와
    리포트 | 5페이지 | 3,000원 | 등록일 2013.02.03 | 수정일 2020.07.13
  • 디지털로직실험/최신 디지털 공학실험 13 디멀티플렉서를 이용한 조합 논리
    이 IC는 4비트 입력 2진수를 7-세그먼트를 구동하는 데 사용되는 고유의 코드로 변환해 준다. ... 시분할 멀티플렉싱은 종종 디스플레이 장치에서 사용되는데, 이 경우 DMUX는 한 번에 하나의 7-세그먼트 디스플레이만 켜는 데 사용된다.시분할 멀티플렉싱은 또한 몇몇 데이터 전송 시스템에도 ... 상태 디코더는 두 개의 입력(2비트 그레이 코드)이 있고 각각의 네 개의 상태에 대해 하나의 출력을 가져야 한다. 74LS139A는 2조 2-라인/4-라인 디코더로서 이 실험에 적합한
    리포트 | 11페이지 | 1,000원 | 등록일 2014.06.29
  • IOPORT
    자리 방 지정unsigned int i=0, j=0;DDRA = 0xFF; // 세그먼트(8bit) 4개 모두 사용. (0xFF = 32, 8*4 = 32)DDRG = 0x04; ... , PWM 출력Port C외부 버스를 확장할 때 상위Address 버스로 사용한다.Port D외부 인터럽트 0~3,타이머/카운터 입력으로 사용.Port E외부 인터럽트 4-7, 비교기 ... ) PORT(A~F 같음) - 출력 값 설정ex) PORTA = 0x03 = 0000 0011PORTA7PORTA6PORTA5PORTA4PORTA3PORTA2PORTA1PORTA0000000113
    리포트 | 5페이지 | 1,000원 | 등록일 2012.12.27
  • timer
    , 0x67}; // 세그먼트 0~9 설정unsigned char segment_control[4] = {0xf7, 0xfb, 0xfd, 0xfe};// 4개의 세그먼트unsigned ... 사용DDRC = 0xff; // C 전체를 출력으로 사용DDRG = 0x00; // G 입력으로 사용PORTA = 0x00; // A 초기화PORTC = 0x0f; // 4개 세그먼트 ... 선정 후,각 비트의 최대값(8bit-256, 16bit-65536)이 되면,초기치부터 카운트 되는 방식초기치/최대치 선정 후, 최대치에 값이 다다르게 되면, 초기치부터 카운트 되는
    리포트 | 4페이지 | 1,000원 | 등록일 2012.12.27
  • 실험2 스위치 및 LED 점멸 회로 실험
    필요장비 및 부품(1) 필요장비브레드보드, 직류전원(5V), 전압계, 니퍼, 롱노우즈 플라이어(2) 필요부품8비트 DIP 스위치 1개, 적색 또는 녹색 LED 8개, 7세그먼트 LED ... 여기서 막대 모양의 LED 하나 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 LED라고 이름이 붙여졌다.7세그먼트 표시기는 애노드 공통형(common-anode ... 저항의 크기가 너무 크면 LED의 밝기가 어두워진다.아래 그림은 LED의 구동회로이다.7세그먼트 LED라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자이다.7세그먼트
    리포트 | 4페이지 | 1,000원 | 등록일 2013.05.24
  • 타이머 만들기 실험 보고서입니다.
    IC이다.MC7805 TTL로 구성된 로직회로는 기본적으로 5V의 전압으로 동작하 기 위한 입력전압을 5V로 바꾸어주는 정전압 레귤레이터 IC이다.1N4007 다이오드FND 컴먼 애노드 7세그먼트 ... EPROM 형태의 칩인 경우 EPROM에 프로그램을 쓰거나 읽을 때에는 하위 어드레스 버스(A0~A7)로 사용된다.5)PORT2(21~28): 내부 풀업을 갖는 8bit 양방향 입출력 ... (10~17): 내부 풀업을 갖는 8bit 양방향 입출력 단자들로 출력으로 사용할 때 4개의 LS TTL IC의 입력을 구동할 수 있다.
    리포트 | 9페이지 | 1,500원 | 등록일 2013.12.09
  • 실험4 프로젝트 보고서 ( 소스 해설 필수자료 ) 직접 작성 마이크로컨트롤러 마이크로프로세서 타이머 시계
    여기서 막대 모양의 LED를 세그먼트라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라는 이름이 붙여졌다. 7 세그먼트의 종류로는 애노드 공통형과 캐소드 공통형이 있다. ... 실험에서는 총 6개의 7segment가 사용되었고 총 4개의 모드에 따라 8051칩의 제어를 받아 각각 알맞은 값을 표시해 주게 된다.3) 80518051은 1980년 발표된 8비트 ... (케소드 커먼) 또한 7447은 항상 +5V로 출력하다가 0V신호를 주어서 FND를 동작시키고, 7448은 항상 0V로 출력하다가 +5V신호를 주어서 FND를 동작시킨다.
    리포트 | 29페이지 | 3,500원 | 등록일 2014.12.16
  • ATmega128, LM35f를 이용하여 온도계 만들기와 Fan작동 시키기
    제어를 통해 LED 나 7- 세그먼트 , Fan 을 동작시길 수 있게 되었다 . ... LM35 온도센서에서 나오는 전압 값은 매 순간 마다 바뀌기 때문에 값이 불안정하여 세그먼트에 깜박임이 많아 평균화를 통하여 값을 안정화 해주었다 . 10 비트인 ADCW 를 10 ... 스위치를 누르면 섭씨 (C) 온도가 화씨 (F) 온도로 보여진다 . 0~24 도 25 도 26 도 27 도 28 도 29 도 30 도회로 구성품 ATmega128 7- 세그먼트 3
    리포트 | 13페이지 | 2,000원 | 등록일 2012.06.17
  • 대학원 면접을 위한 OS정리 자료
    필요할떄 적재가 가능하다.주기억장치로 한 세그먼트를 읽어 들이기 위해 하나 이상의 세그먼트를 디스크에 기록 해야한다.지역성 은 가상 메모리 방식이 작동 가능함을 암시하며 이를위해서는 ... : 처리기는 프로세스를 대신하여 입출력 모듈에 입출력 명령을 보낸다. ... 형식은 RAID-5와 비슷하지만, 다른 드라이브들 간에 분포되어 있는 2차 패리티 구성을 포함한다.장점 : 높은 안정성단점 : 기술 구현이 복잡해서 잘 사용하지 않는다.10) RAID7이
    리포트 | 19페이지 | 3,000원 | 등록일 2013.04.17
  • [컴퓨터 전공][과목 : 디지털 논리 회로 설계 및 실습][내용 : 디지털 시계]
    7485 비교기를 이용하여 4비트 2진수를 2개 입력 받아 같으면 A=B의 출력이 1로 되어 알람 LED의 불을 반응시킨다.오전 오후를 구분하는 방법은? ... 아래그림에 나타낸 디지털 시계의 전체 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7 세그먼트 디코더, 12시간 표시기 디코더, modulo-N 카운터 ... 카운터에서 나온 신호를 BCD to 7 세그먼트 디코더(7447)을 이용하여 표현할 수 있다.역할 분담1.
    리포트 | 11페이지 | 1,500원 | 등록일 2013.06.11
  • 디지털 시계 설계 보고서
    : 4bit의 입력을 7_세그먼트에 일치되는 입력으로 바꿔주는 모듈clkclk를 받아들여 레지스터로서 설정한다. ... (값이 변하기 까지 시간이 오래 걸리는 관계로 주기적인 reflesh가 요구된다.)bit_data4bit의 2진 값을 보낸다.seg_data세그먼트를 동작시키기 위한 데이터 출력표 ... 시간 부분 하위 출력 데이터out_seg2세그먼트 시간 부분 상위 출력 데이터out_sig9초에서 0초로 내려갈 때 상위 모듈의 증가를 위한 신호표 시간 부분세그먼트 디코더 6개
    리포트 | 19페이지 | 2,000원 | 등록일 2012.05.29
  • [마이크로프로세서실험] 인터럽트(Interrupt) & 7 segment 예비보고서
    표시방법 >7세그먼트도 LED와 마찬가지로 한쪽에는 출력으로 5V를 걸어주고 다른 한 쪽에는 0V를 걸어줘야 빛을 내기 때문에 Port의 Pin에 값을 잘 조절하여 값을 내도록 해야 ... 아래 표는 0~9, A~F까지 7-Segment로 표시할 때 a~f가 켜지고 꺼저야 함을 보여주고 있다. 0이면 0V가 1이면 5V가 걸린다는 의미이다.표시BIT7BIT6BIT5BIT4BIT3BIT2BIT1BIT0 ... 7_Segment< 7 세그먼트 구성도 >7세그먼트 표시 장치(Seven-segment display)는 표시 장치 중 가장 흔히 쓰이는 장치이다. 7개의 획을 사용해 원하는 숫자나
    리포트 | 10페이지 | 1,500원 | 등록일 2012.05.30
  • 외부 입력신호의 주파수 측정 예비 보고서
    세그먼트를 사용하여 주파수를 출력하기 위한 수도 코드를 작성하시오.num[0]에는 0의 LED 값num[1]에는 1의 LED 값.... // 이와 같은 방식으로 배열 초기화이와 같은 ... 또한 모드 설정을 위해 M1,M0에 “1 0”으로 설정해 줍니다.- TH/TL : 인터럽트가 발생 했을 때 초기화 해야 하므로 TH 와 TL 값을 0x00로 설정을 해 줍니다.□ 7- ... 그 다음의 세그먼트에는 num[c2]를 C_8255_B에 입력합니다.
    리포트 | 1페이지 | 2,000원 | 등록일 2012.06.26
  • 아주대 논회실 실험8 예비보고서
    카운터가 카운트한 이진수나 이진화 십진수가 디코더를 통해서 7 세그먼트 발광 다이오드에 표시되는 숫자로 변환하여 인간이 알아볼 수 있는 정보가 된다.2) X단Y진 카운터: X단Y진 ... 작동하게 된다.6) 비동기식 BCD 카운터: 비동기식 4비트 증가형 카운터는 0부터 15까지 세는 카운터이다. ... 비동기식 카운터는 동기식 카운터에 비해 회로가 간단해 진다는 장점이 있으나 전달지연이 커진다는 단점이 있다.4) 비동기식 증가형 카운터: T 플립플롭을 사용해 비동기식 4비트 증가형
    리포트 | 5페이지 | 1,000원 | 등록일 2014.03.10 | 수정일 2014.03.21
  • 텀프로젝트
    7-segment- 7개의 세그먼트를 이용해서 숫자를 표시하는 장치 segment의 모양? 직렬통신선? ... 1 9비트 동작모드에서 마지막 9번째 비트- bit 0 9비트 동작모드에서 마지막 9번째 비트- UCSRB = (1 ... 수 있다.- bit 5 데이터가 존재 하지 않으면 인터럽트를 발생시킷 수 있다.- bit 4 수신기 활성화- bit 3 송신기 활성화- bit 2 송/수신 비트의 크기 설정- bit
    리포트 | 19페이지 | 2,500원 | 등록일 2012.04.01
  • 디지털공학 실험 디지털시계보고서
    진리표에서 나머지 출력 F, G, H, I는 일의자리를 나타내기 위한 4비트를 의미한다. ... 그림 9.1에 나타낸 디지털 시계의 전체 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7세그먼트 디코더(TTL 7447), 12시간 표시기 디코더, modulo-N ... 설계하는 디코더의 기능은 2진수를 입력받아 BCD로 변환하여 출력하는 디코더이며, 설계할 수 있을 것이다.그림 9.4에 나타낸 디코더는 12진 카운터의 값을 입력으로 받아 직접 7세그먼트
    리포트 | 11페이지 | 1,000원 | 등록일 2012.11.28
  • 실수형 계산기
    // AVCC전원(RFS:01)// 하위비트정렬(ADLAR:0)// ADC7단극성입력(MUX:00111)ADCSRA =0xEF; // 11101111// 인에이블(ADEN:1)// ... 전역변수 초기화 *//* 연산 변수 초기화 *//* 모드 변수 초기화 *//* 세그먼트출력을 위한 변수(=배열[4]) 초기화 */int i;for (i=0; i= 8) {cur_blink ... // 프로그램에서 사용하는 전역 변수 초기화while(1) {if (mode == 0) init_variable();number_to_display();display(); // 7세그먼트
    리포트 | 2,000원 | 등록일 2013.12.18
  • 업다운카운터
    -기본적으로 7447은 4bit BCD 입력과 7세그먼트 출력을 가지고 있다. ... 세그먼트 LED 표시 디코더-카운터가 10진수를 표시할 때 4비트 카운터는 9(100)를 초과할 수 없다. ... -디코더는 4bit BCD code를 7 세그먼트 code로 바꾸고 10진 digit을 표시하는 LED 세그먼트가 켜지게 된다.-7447은 가장 알려진 anode 접지 decoder이며
    리포트 | 10페이지 | 1,000원 | 등록일 2011.09.26
  • 디지털회로실험 09장. 디코더
    디코더는 n비트의 2진 입력코드는2 ^{n}개의 출력 가운데 해당 출력 1개로 변환하는 nㅡ2 ^{n} 디코더 회로를 나타낸다. ... 위의 실험에서 G1=0, GA=GB=1일 때의 출력상태를 관찰하라.6. 74LS154의 핀접속도에서 16가지 입력상태에 대한 출력상태를 관찰하여 표 9-6의 해당란에 기입하라.7. ... 실험 3, 실험 5, 실험 7의 결과를 기술하라.3.
    리포트 | 8페이지 | 1,000원 | 등록일 2014.04.07
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:46 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대