• 통큰쿠폰이벤트-통합
  • 통합검색(11,070)
  • 리포트(9,081)
  • 자기소개서(990)
  • 시험자료(605)
  • 방송통신대(304)
  • 논문(54)
  • 서식(18)
  • 이력서(8)
  • ppt테마(7)
  • 노하우(3)

"C언어설계" 검색결과 181-200 / 11,070건

  • 산업공학과 학업계획서 작성 자료
    수업을 통해 C언어 프로그램을 설계하면서 소프트웨어 역량을 키웠습니다.그리고 빅데이터분석기사 자격증을 취득했습니다.자격증 공부를 하면서 다양한 통계기법을 알게 되었습니다.특히 데이터마이닝 ... 것이라 확신합니다.기계설비자동화 프로젝트로 진행했던 설비관리시스템(MES) 개발 과정에서의 문제해결능력 및 커뮤니케이션 능력을 발휘할 수 있다고 생각합니다.또한 컴퓨터 프로그래밍 언어 ... 또 다른 이유는 새로운 기계 기술들이나 시스템 설계 방법론 같은 최신 트렌드를 배우고 싶어서 입니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2023.12.02
  • 전략물자관리원 합격자소서
    또한, 아두이노의 경우 C언어를 사용하여 개발했으며, 데이터베이스의 경우는 ORACLE을 사용하여 개발하였고 APMSETUP을 통해 전체적인 앱에 대한 가입 정보 및 택배함 정보들을 ... 아두이노 간 무선인터넷 신호 전송을 통해 아두이노와 연결된 도어락을 제어함으로써 동작되는 구조였습니다.저는 프로젝트의 조장으로써 무인택배함의 Flow-Chart와 App의 제작 및 설계를 ... 애플리케이션을 만들기 위해 개발 플랫폼은 Android Studio를 사용했으며, Java와 XML 언어를 사용하여 개발했습니다.
    자기소개서 | 1페이지 | 10,000원 | 등록일 2022.06.11
  • 서울시립대학교 전전설2 3주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    VHDL은 두 가지 중 더 오래된 버전이며, Ada와 Pascal을 기반으로 두 언어의 특성을 상속받았다. Velilog는 비교적 최신이며, C 프로그래밍 언어의 방식을 따른다. ... 이 점만 조심하면 c언어와 동일하게 활용해도 무방하다.(5) reg 형 변수 a 가 있을 때, 다음과 같이 값을 입력한 후의 a 값을 조사하시오a = (3 == 4)a = (3 ! ... 활용과 비슷하지만, 한가지 다른 부분이 존재한다. c언어에서는 수식 부분을 대괄호’{ }’를 활용하여 표시하던 것에 반해, Verilog는 대괄호를 begin, end으로 대체하여
    리포트 | 15페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 온라인 화상(Online)영어 어학원 및 프리랜서 강사 취업 합격을 부르는 영문 이력서 및 자소서 핵심 문장 (한국어 포함)
    and improve student learning.커리큘럼을 통합하고 학생 학습을 개선하기 위해 이중언어 및 단일 언어 교사와의 [타임프레임] 팀 미팅에 참석했습니다.Educated ... , 발음 및 독해력을 강조하는 계절별 프로그램을 설계했습니다.Created new lesson plans based on course objectives.과정 목표에 따라 새로운 교육 ... homework, quizzes and exams to test abilities.매주 수업 계획을 작성, 준비 및 제출하고 능력을 테스트하기 위한 숙제, 퀴즈 및 시험을 만들고 설계했습니다.Participated
    자기소개서 | 5페이지 | 3,000원 | 등록일 2021.10.23 | 수정일 2021.12.14
  • 임베디드 IoT 응용실험 - VHDL을 이용한 8-bit ALU
    하는 언어로 초보자도 쉽게 회로 설계를 할수 있는 IEEE 표준언어 ... Simulation 되는 VHDL source code를 제출한다.5. ... 계획1주차 : 계획 보고서 작성에 있어, 디지털 공학, 전자회로 과목 복습 및 VHDL 강좌 수강, 툴 설치(VAIVADO)_최신버전, 회로의 대략적인 구상과 동작 원리 파악, coding
    리포트 | 9페이지 | 3,000원 | 등록일 2022.04.14
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab04(결과) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 사용하여 Combinational Logic을 설계 및 실험하고, 설계한 로직을 시뮬레이션하기 위한 벤치를 작성하고 장비로 동작을 확인한다.나 ... LED1)A[3:0]B[3:0]S[3:0]C11100011000110111110000111(4) [응용과제] four-bit comparator를 설계하고, A와 B의 쌍이 8가지 ... 이상 포함되는 테스트벤치로 시뮬레이션 후 장비로 동작 검증하시오.Source codeTestbenchPin testbench 시뮬레이션 결과 설계한 four-bit comparator의
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • 교육평가_1. 표준화검사법 가운데 1가지를 선택하여 그것의 특징, 검사실시 방법 등을 간단히 기술하시오. 2. 교육평가의 개념, 종류, 방법을 기술하고 유아교육에서 적용할 수 있는 평가방법으로 타당한 2가지 방법 선정과 그 이유 그것의 실시과정을 구체적으로 기술하시오.
    시험 결과를 기반으로 A, B, C예를 들어, 학습한 단원의 마지막에 학습 목표에 대한 지필시험 등을 통해 목표 달성 정도를 평가한다. ... 예를 들어, 기준에 따라 A, B, C 등급으로 평가하는 것이다. 준거참조평가는 판단에 필요한 일정한 기준선을 정하고, 그 기준선에 도달 했는지 여부를 평가하는 방법이다. ... 유아가 자러는 교육평가를 교육과정 설계의 핵심 단계로 간주하며, 교육의 목표, 내용, 방법, 평가의 관점에서 평가를 수행해야 한다고 주장했다.
    방송통신대 | 9페이지 | 2,000원 | 등록일 2024.07.17
  • 10장 VHDL 설명 및 문법 예비
    VHDL 언어 자체의 복잡성② Full-custom 설계 방식에 비해 최적화된 설계가 어려움다. ... 흔히 우리가 말하는 C나 Pascal 언어와 같은 고급 프로그래밍 언어를 사용하여 프로그램을 작성하는 것과 비슷하다.2) 자료흐름적 모델링 기법말 자체가 의미하는 바와 같이 자료의 ... 이는 프로그램 내의 순서에 따라 수행되며 일반적인 프로그램 언어들과 같이 변수와 상수들로 상태가 유지된다.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.01.06
  • 2023학년도 중앙대학교 AI학과 편입 자기소개서 (+합격증)
    자신이 수행한 학업 활동에 대한 과정과 결과를 구체적으로 기술하시오. (1000자 이내)소프트웨어를 전공하면서 c언어라거나 JAVA와 같은 언어를 비롯해 통계프로그램인 R의 사용법 ... AI 학과로 편입하기 위해 전적 대학교에서 소프트웨어공학을 전공하면서 C, 파이썬, R, 자바스크립트 등의 기초 프로그래밍 수업을 수강하였습니다. ... 이후 컴퓨터 비전에 관하여 더 공부해보고 싶은 생각이 들었고 진로를 설계해보고 싶다고 생각하게 되었습니다.
    자기소개서 | 3페이지 | 10,000원 | 등록일 2023.03.24 | 수정일 2023.10.16
  • Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증 예비레포트
    {모듈 이름} ({port 목록});이후에 module 맨 마지막에 endmodule로 module의 끝을 알려야 한다.(2) pin 선언module에서 필요한 것들을 선언한다.C언어에서 ... Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증예비레포트1. 실험 제목1) Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증2. ... 따라서 combinational logic을 표현할 때 wire를 주로 사용한다.integer:정수형 변수time, realtime:시간형 변수 (시간에 따라 다른 값을 넣고 싶을
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • A+개발자는 어떤 일을 하는 사람이며 개발자가 만들고 다루는 것은 무엇인지 알아보고, 개발자가 소프트웨어를 만들고 운영하는 과정을 개발 프로세스 중심으로 간단히 설명하시오
    개발자 도구 및 기술1) 프로그래밍 언어개발자는 자바, 파이썬, 자바스크립트, C++와 같은 다양한 프로그래밍 언어를 사용하여 소프트웨어 동작을 지시합니다. ... 개발자는 선택한 프로그래밍 언어와 프레임워크를 사용하여 설계 개념을 작업 코드로 변환합니다.4) 테스트 및 품질 보증테스트는 개발 프로세스의 중요한 단계입니다. ... 개발자는 소프트웨어 애플리케이션과 시스템의 배후에 있는 창의적인 정신을 가진 매일 사용되는 디지털 툴의 설계, 구축, 유지보수를 담당하고 있습니다.
    리포트 | 5페이지 | 8,900원 | 등록일 2024.01.14
  • 교실기반수업과제-교육평가
    진정성 : 주어진 시험 과업의 목표 언어로 실제 과업의 자질들과 서로 상응하는 정도One way to enhance washback 시험의 수행에 대해 많은 수의 구체적인 comments ... 시험설계의 원리를 여러분 자신의 교실 기반 시험과 기타 평가 절차에 적용한다 . 3. ... )” 에 관한 피드백을 주기 위해 설계 서열 연속선상의 학생 점수 분포는 별로 관심이 없다 .
    리포트 | 21페이지 | 2,000원 | 등록일 2023.06.27 | 수정일 2023.07.05
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 예비레포트 3주차 Lab03 Introduction to Verilog HDL
    즉, HDL은 소프트웨어의 동작을 명령하는 소프트웨어 언어인 C, C++, JAVA과 하는 역할이 다르다.(2) Verilog HDL과 VHDLVerilog HDL과 VHDL는 모두 ... 단지 선을 연결하거나 and나 or등의 모듈로 나온 선을 잇는 것만 가능하다는 특징이 있다.Verilog에서 for문, if문의 사용법에 대하여 조사하시오.Verilog는 C언어와 ... 설계하는 방법을 학습한다.2.
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 언어발달장애를 주제로 되어있는 논문을 분석하고 자신의 의견을 제시하시오
    연구절차사전-사후 설계사용, 아동의 중재 전, 후 수용표현어휘력 검사를 통해 어휘력 향상 정도를 살펴보고 3개월간 주 2회기 씩 24회기 동안 실시한다.4. ... during learning, you provide social reinforcement, The child can manipulate the tablet by himself and ... check the contents of the learning.5.
    리포트 | 4페이지 | 2,500원 | 등록일 2022.08.25
  • 교육과정의 여러요소들 중, 자신이 생각하는 교육과정의 필수요소 선정하여 단계별로 나열하고, 그 요소들을 필수 요소로 선정한 이유에 대해 설명해 보시오
    사용자(사람, 문화)에 대한 이해라는 점을 보였음☞ 언어 능력 차원의 목적과 목표를 재분류☞ 세분화된 등급 목표 제시4) 교수요목[敎授要目, course of study] 설계교수요목이란 ... 단계별 교육과정의 필수 요소 선정 및 나열1) 상황 분석① 상황 분석의 개념: “언어 교육 과정은 정치 사회적·철학적 문제, 교육적 가치 체계, 교육 과정 설계의 이론과 실제, 교사의 ... 및 교수요목을 설계할 수 있도록 학습하고 있다.
    리포트 | 4페이지 | 4,000원 | 등록일 2022.02.21 | 수정일 2022.06.06
  • 한양대학교 컴퓨터소프트웨어학부 일반편입 최초합 학업계획서(자소서)/유료 첨삭(o)
    컴퓨터 관련 역사, 구조 등의 개념을 비롯해 프로그래머의 태도를 익히며 기본 바탕을 다졌고, ‘프로그래밍 언어’ 강의를 통해서는 C언어를 접했습니다. ... C언어를 활용해 1년간 다양한 과제를 해결하며 실력을 쌓았고, 이를 항공기에 연계하며 사고 영역을 넓힐 수 있었습니다. ... 목적에 부합하는 프로그램을 설계하고, 구동 과정에서 발생하는 오류를 해결하며 프로그래밍 역량을 개발해 나아갈 것입니다.
    자기소개서 | 2페이지 | 5,000원 | 등록일 2022.01.21 | 수정일 2023.10.17
  • 프로그램 언어의 역사, 특징, 장.단점 (A+, 97점)
    설계, 이런 자료구조와 알고리즘을 프로그램 언어로 문법에 맞게 변환하여 프로그램을 만드는 것이 프로그래밍이다.2. ... C++의 장점(1) C 언어에서 부족한 부분을 추가적으로 개발한 언어인 만큼 C언어에서 하지 못했던 방대한 용량 처리 등이 가능하며 C언어와 호환성이 있다.(2) 문법이 간결하다. ... 그래서 문제를 해결하기 위해 프로그램을 만들려고 하면 문제에 관련된 데이터를 기억장치에 저장하고 읽어 오는 단계인 자료구조 설계, 명령어들을 어떠한 순서로 실행할지 결정하는 알고리즘
    리포트 | 25페이지 | 1,000원 | 등록일 2023.04.23 | 수정일 2023.05.16
  • 챗지피티(CHAT-GPT)와 플러터(FLUTTER) 효율적인 앱 개발 가이드-초급편
    언어에 상관없이 구현 가능: Chat GPT를 활용하면 어떤 언어로든 쉽게 코드를 구현할 수 있습니다. ... 2-2: 챗지피티(CHAT-GPT)와 플러터(FLUTTER) 미로게임 앱 설계 # 플레이어Part 2-3: 챗지피티(CHAT-GPT)와 플러터(FLUTTER) 미로게임 앱 설계 # ... 챗지피티(CHAT-GPT)와 플러터(FLUTTER): 효율적인 앱 개발 가이드 초급편Part 2-1: 챗지피티(CHAT-GPT)와 플러터(FLUTTER) 미로게임 앱 설계 # 맵Part
    리포트 | 46페이지 | 2,500원 | 등록일 2024.07.25
  • 과정 중심 교수요목의 설명과 내용 중심 교수요목을 한국어 교육에 적용하는 방안
    교수요목의 정의교수요목(syllabus)은 교육과정(curriculum)과 유사한 의미로 사용되기도 하지만(배두본, 2000), 교육과정의 하위 요소로 언어와 경험적 내용을 구체화하고 ... 교육기간은 6개월로 잡는다.내용 중심의 교수요목을 설계하려면 먼저 내용이 될 주제를 선정해야한다. ... 이것은 정해진 기간동안 무엇을 가르칠 것인지에 대한 목록이며 다른 교과목과 연계한다면 그 교육과정의 교과서를 기본으로 설계할 수 있다.
    리포트 | 7페이지 | 3,000원 | 등록일 2023.09.02
  • 현대자동차 최종합격 면접 포트폴리오
    ..PAGE:1PORTFOLIO팀이름..PAGE:2학업 성취도Positioning map관심공학수학C+ 이하B0B+A0A+낮음보통높음일반화학2일반화학실험2공학기초수학응용수학기게시스템설계입문2정역학일반물리실험일반물리일반화학실험열역학응용수학2기게시스템설계입문1전산기계제도프로그래밍언어기초물리연습동역학고체역학기계부품설계열전달터보기계설계플랜트공학통계학수치해석유체역학자동제어기계시스템공학실험기계공작법HVAC캡스톤디자인1 ... 직접 Data를 취득하고 장비를 tuning, calibration하여 최적화 하는과정에 흥미를 느낌..PAGE:4Project (VOLVO X1 Constant flow control ... X1 constant flow control이라는 프로젝트였는데 기존 장비에서는 엔진스피드가 낮아지면 어태치 유량이 낮아지는 이슈가 있어서 엔진스피드와 상관없이 해당 엔진스피드에서
    자기소개서 | 6페이지 | 10,000원 | 등록일 2023.10.13
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:17 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대