• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(485)
  • 리포트(473)
  • 자기소개서(5)
  • 시험자료(4)
  • 서식(2)
  • 논문(1)

"FND" 검색결과 181-200 / 485건

  • 2017년도 1학기 중앙대학교 전자전기공학부 4학년 마이크로프로세서응용회로설계실습 결과보고서 [6주차]clcd_mm1.c & key-mm.c 코드 해석
    = mmap(NULL, 2, PROT_WRITE, MAP_SHARED, fd, FND_CS0);// keypad의 원리는 key_out을 통하여 4 column 중 하나씩 high ... =-1) {ch=peek_character; peek_character=-1; return ch;}read(fd0, &ch, 1); return ch;} 00#define FND_CS0 ... 주소를 정의해줍니다#define SCAN_NUM4 // keypad가 4x4 matrix 구조이기 때문에 4로 정해줍니다.unsigned char *keyin, *keyout, *FND0
    리포트 | 9페이지 | 1,000원 | 등록일 2017.06.25
  • 마이크로 프로세서 보고서(라인트레이서 설계)
    = fnd[Rsignal];os_wait(K_IVL,1,0);}}5. ... motor enable#define sen1 P2_1//left photo interrupt#define sen2 P2_0//right photo interrupt#define FND ... unsigned int u_int;u_char cnt=0, Lsignal=0, Rsignal=0;int u_state, u_turn;//7_Segment Data 배열u_char fnd
    리포트 | 13페이지 | 3,000원 | 등록일 2017.04.11
  • 회로 설계 및 제작 전자 주사위
    7-segment cathode형식 1개4511 1개 (FND에 숫자가 나오도록 하는 CMOS소자)4013 2개 (6진 카운터 용)NE555 1개 (클럭 발진용 IC 소자)LM7805
    리포트 | 13페이지 | 3,000원 | 등록일 2012.12.22
  • 부경대 디지털회로실험 텀프로젝트(5진 동기식 업 카운터)
    FND에 전압을 바로 인가할 경우 FND에 과전류가 흐르게 되고, 열이 많이 발생하여 FND내의 LED가 타게 되므로 인가하는 전압 값에 적절한 저항을 연결하여 사용하여야 한다. ... [그림1-2] FND 내부회로1.4 동기식 카운터일반적으로 카운터는 비동기와 동기식으로 나누어진다. 비동기식 카운터에서는 플립플롭의 출력이 다음 플립플롭의 클럭으로 사용된다. ... OR gate)74HC76(Dual J-K Flip-Flops), 74LS47(BCD-to-Seven Segment Decoder Driver)저항 330Ω, Ahode type FND
    시험자료 | 5페이지 | 1,500원 | 등록일 2015.09.19 | 수정일 2019.06.10
  • AD컨버터 결과보고서 마이크로컨트롤러
    낸다2) 실험 순서① E 포트를 PE7~PE0를 8핀 케이블로 Array FND의 A~H 포트로 연결한다.② G포트의 PG3~PG0 4비트는 Array-FND 모듈의 C0~C3 포트에 ... A/D 컨버터로 광센서 읽기1) 실험 목적ATMega128 의 A/D 컨버터 기능을 이용하여 광센서(포토다이오드)로부터 밝기 정보를 읽어내어 7-Segment FND에 아날로그 출력을 ... 아날로그 신호가 발생한다.3) 중요 실험 개념이 실습은 A/D 컨버터 기능을 이용하여 광다이오드에서 출력되는 광량에 대한 아날로그 신호를 받아 디지털로 변환한 뒤, 이를 Array-FND
    리포트 | 10페이지 | 1,000원 | 등록일 2017.04.02
  • 마이크로 프로세서를 이용한 암산게임기 (Codevision)
    FND는 정상적으로 작동하나 첫 문제가 나오고 키패드로 답을 입력하면 바로 마지막 문제로 가는 것이었습니다. ... 그리고 좌절했습니다.LCD Display에 문제는 나왔지만 키패드로 입력하는 값이 FND로 출력이 되었습니다. 저희는 문제를 찾아냈습니다. ... FND에 들어가는 포트가 C와 G인데 저희가 입력은 A포트로 받고 출력을 C포트로 사용했기 때문이었습니다. 출력포트를 A포트로 바꾸어서 다시 프로그램을 돌려보았습니다.
    리포트 | 22페이지 | 3,000원 | 등록일 2012.03.04 | 수정일 2019.12.08
  • AD 컨버터
    /D 컨버터* A/D 컨버터로 광센서 읽기1) 실습 개요- ATmega128의 A/D 컨버터 기능을 이용하여 광 센서(포토 다이오드)로부터 밝기 정보를 읽어내어 7-segment FND에 ... char lowValue=0;unsigned char highValue=0;unsigned int adcValue=0;unsigned char timer0Cnt=0;void print_FND
    리포트 | 5페이지 | 1,500원 | 등록일 2017.01.11
  • GPIO 입출력제어 결과보고서
    이러한 방법으로 스위치 모듈의 스위치를 누르면 해당되는 LED 모듈의 LED가 점등되게 된다.3) GPIO로 FND LED 불켜기(실습3)실습3은 FND(또는 7-Segment)를 ... 아직 한 번 밖에 사용하지 않았지만 LED, FND, Sensor, Memory 등 많은 것을 포함하고 있다. ... 실험 결과는 일정 시간마다 클럭에 의해 FND에 1→2→3→4→5→6→7→8→9→_→. 순서로 반복되어 나타나게 된다.2.
    리포트 | 3페이지 | 1,000원 | 등록일 2015.11.09
  • 10진 카운터
    이번 실험은 10진 카운터 회로를 구성하여 스위치를 눌렀을 때 FND507 7세그먼트 소자에 0부터9까지의 숫자가 차례로 출력 되도록 하는 것이었다. ... 이에 따라 양극 공통형 FND507(seven segment display)은 스위치를 한번 누를 때 마다 0부터9까지의 숫자를 순서대로 출력하였다. ... 회로를 다 조립한 후 파워서플라이를 이용해 5V정도의 전압을 가해보았는데 FND507에 몇 개의 숫자만이 불안정하게 출력 될 뿐 우리가 원했던 출력이 나오지 않았다.
    리포트 | 1페이지 | 1,000원 | 등록일 2012.01.23
  • ATMEGA128환경에서 AVR을 이용한 ADC 동작
    -FND에 MAX가 100.0 MIN이 000.0으로 표시될 수 있도록 광센서 측정값을 nomalize 한다.-즉 FND에 표시할 값은 = (MAX-MIN)/100.0이 된다. ... (C0,adcPrint%10);_delay_ms(3);print_FND(C1,(adcPrint/10)%10);_delay_ms(3);print_FND(C2,(adcPrint/100) ... 128분주ADMUX = 0xC0;ADCSR = 0xA6;ADCSR |= 0x40; //ADC start conversionsei();while(1){// 3) 1초마다구해지는포토다이오드의센서값을FND에출력한다.print_FND
    리포트 | 4페이지 | 1,000원 | 등록일 2015.06.25 | 수정일 2020.12.27
  • Application-Design-Ⅰ-7-segment and Piezo-Control
    소리출력→예상과 같음Rst : 0Clk : 1In[1] : 1시FND : 6시의 소리출력→예상과 같음Rst : 0Clk : 1In[0] : 1높은 도FND : 7높은 도의 소리출력 ... →예상과 같음0001 : lordUp : 0Down : 1lk : 1In[3] : 1솔FND : 4솔의 소리출력→예상과 같음Rst : 0Clk : 1In[2] : 1라FND : 5라의 ... 다음으로 0001을 로드시킨 후 down버튼을 누르자 FND에는 16진수인 0이 표시되었다.
    리포트 | 28페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • AD컨버터 결과보고서
    결선 방법은 위의 사진과 같은데 먼저, MCU 모듈 포트 E의 PE7~0를 Array FND 모듈의 A~H까지 연결하고, MCU 모듈 포트 G의 PG3~0는 FND 모듈의 C3~C0 ... 연결만 하고 아무것도 하지 않았을 때 Array-FND에 출력되는 숫자는 0.77이다. ... 이렇게 빛의 정도에 따라 빛의 밝기를 FND로 출력하는데, 밝으면 숫자가 높아지고, 어두우면 숫자가 낮아지는 것으로 표현을 하는 것이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2015.11.09
  • n진 카운터 설계
    Decade Counter, 카운터의 2진수 신호를 10진수 신호 및 다른 신호로 바꾸어 주는 7447 Decoder / Driver, 신호가 들어올 때 그 신호를 숫자로 표시해 주는 FND ... 있는 7490 Decade Counter의 Reset으로 입력이 되는 회로를 구성 할 수 있어야 한다.④ Data Sheet를 참조하면서 7447 Decoder / Driver와 FND ... 관련이론2.1 FND507 - Red GaAsP 0.5-inch 7-Segment Numeric LED Displays⇒ 숫자 표시 장치인 7 - Segment는 7개의 발광 다이오드가
    리포트 | 6페이지 | 2,500원 | 등록일 2013.06.02
  • (전실결과) Digital Circuit 2(엔코더측정회로)를 통한 모터측정
    FND에서 총 4개의 입력이 필요한데, 그 바로 Q0, Q1, Q2, Q3에서 나오는 신호가 그 역할을 한다. ... 별 차이는 없지만, 사실 LED를 달아 놓는 것이 스위치가 작동하는 것을 명확하게 확인할 수 있기는 하다.실험 6 : Labview (디코더 & FND & Display)(구동영상
    리포트 | 9페이지 | 2,000원 | 등록일 2014.04.20
  • 전원회로부터 쭉 설명한 ne555를 이용한 99진 카운터회로
    전원을 끄고 IC 장착 후 전원을 켜서 FND 00~99 계수되는 가 확인. ... LED에 저항을 두어 LED를 보호하고 디코더 IC 출력이 “L”일 때해당 LED가 점등한다.(2) FND 500은 캐소드 커먼 LED. ... wire AND가 가능하며 BI는 블랭킹 입력이고 RBO는 리플 블랭킹 출력단자이다.SN7447SN7448(2) 진리표5) 표시회로(1) FND 507은 애노드 커먼 LED.
    리포트 | 8페이지 | 4,900원 | 등록일 2009.02.03
  • 서울시립대학교-전자전기컴퓨터설계실험2-제09주-Lab08-Pre
    A COM 1 ~ 4에 할당한다.seg_data는 최상위비트부터 FND A a ~ dp에 할당한다.Behavioral SimulationSimulation 조건에 맞게 Source ... 4주기로 반복되게 된다.Pin Assignment CodeReset switch를 변수 rstn에 할당한다.1kHz Clock을 변수 clk에 할당한다.seg_com은 최상위비트부터 FND
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 불량내역서
    2,000 "20007월흑점 및 스크레치FRONT"1,000 "10007월흑점 및 스크레치FRONT360 3608월게이트 미사상FRONT"1,000 "10008월"로고부분 GAS, FND지문"나FND ... 미사상라CABLE300 3007월SAMPLE과 상이마PCB"1,000 "10007월진공포장 불량바BATTERY"1,000 "10003월PCM 상이사PCB ASS'Y"1,190 "11907월FND
    서식 | 1페이지 | 300원 | 등록일 2016.01.20
  • 인터럽트 결과보고서
    과정으로는 일정 시간마다 클럭에 의해 FND에 숫자와 문자가 디스플레이 되도록하고, 두 개의 스위치를 이용해 하나는 FND 디스플레이가 초기화되는 기능을 하며, 다른 스위치는 잠시 ... 그리고 MCU모듈 포트 G의 PG0~3은 Array FND 모듈의 C0~3포트에 연결한다. ... 마지막으로 MCU 모듈 포트 E의 PE0~7을 Array FND 모듈의 A~H까지 연결하면 된다.
    리포트 | 3페이지 | 1,500원 | 등록일 2015.11.09
  • 전전설 실험2 Final Project 다기능 계산기(Verilog Calculator)
    Array사용 – reg_Data 표현reg_Data정보를 Data_Input_1과 Data_Input_2에 넣는데, 입력되는 정보의 값을 알기위해 FND_Array를 통해 표현을 ... Input_Data_1의 1000의 자리수Input2_a : Input_Data_2의 1의 자리수 … Input2_d : Input_Data_2의 1000의 자리수추가기능1 : FND
    리포트 | 35페이지 | 20,000원 | 등록일 2018.11.10 | 수정일 2024.03.12
  • [예비레포트] Mod-n 카운터
    state를 가질 수 있고, 이를 이용하여 16 카운터를 설계 할 수 있다.7-segment : 7-Segment Display 는 Flexible Numeric Display (FND
    리포트 | 8페이지 | 1,000원 | 등록일 2019.06.02
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:49 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대