• 통큰쿠폰이벤트-통합
  • 통합검색(525)
  • 리포트(504)
  • 시험자료(12)
  • 방송통신대(3)
  • 자기소개서(2)
  • ppt테마(2)
  • 논문(1)
  • 서식(1)

"R&TD" 검색결과 181-200 / 525건

  • 성균관대학교 전기전자프로그래밍(전전프) 2.포인터
    ImplementationFILE *fp; //파일포인터 선언int loop; //for문 변수double average, std_dev; // average and standard ... = get_average(grades, num_persons, &std_dev);int sum = 0; //성적 평균을 내기 위한 합int sum2 = 0; //표준편차 구하기위한 ... 얻지 못할 경우 에러메시지를 띄워줌assert(who);assert(grades);assert(ages);assert(array1);fp = fopen("dy_data.txt", "r"
    리포트 | 11페이지 | 1,000원 | 등록일 2014.09.26
  • 크론병 케이스
    입원상태 및 병력1) 입원경로 : 외래 2) 입원방법 : 도보 3) 입원시 동반자 : 가족4) 활력증후 : BP 110/59mmHg, T 36.7℃, P 89회/분, R 18회, Weight ... 자료객관적 자료“항문에서 진물이 나와요”“엉덩이가 아파요”* ▲이OO님 항문 부분 욕창 사진* penrose remove site 통해 serous한 액체가 흘러나옴.* pus(-), Td ... (-), pain(+) -> daily dressing, 필요시 bid로 하도록 함.* 5시 방향으로 타원에서 I&D 시행, defect가 1cm, 안쪽으로 치루(+)* 항문주위 농양으로
    리포트 | 18페이지 | 1,000원 | 등록일 2018.09.09
  • 기관지염, 기관지염 사례연구보고서,bronchitis case sudy,아동간호실습 기관지염
    AND tepid water massage 4/17 - v/s check q 6hr - Bed rest - TD( tolerable diet) - if seizure 1. airway ... 머리에서 불이 나는 것 같아요 ” “ 힘이 없고 몸이 축 쳐지는 기분이에요 ” “ 너무 추워요 , ” “ 목도 마르고 얼굴이 너무 뜨거워요” ▪ BT : 38.1 P : 98, R ... AND tepid water massage 외래 order ( adm. ) Chest PA ( 흉부 촬영시 )-spine ,lib, sternum 다 나오게 *Lab CBC CRP(
    리포트 | 23페이지 | 5,000원 | 등록일 2018.09.01
  • 아동간호학 케이스 스터디 case study 패혈증 sepsis 자료
    누워 있으면 명치 통증 지속당일 두유 먹고 구토 1차례 하여 본원 opd visit.BT-39°C, P-146, R-18, BP-94/71 보여 추가적 evalutation & management ... 4/3정상치pH7.4677.35~7.45pCO227.235~45mmHgpO280.375~100mmHgHCO3-act19.221~28mmol/LHCO3-std21.7mmol/LBE(ecf ... Chest CT, Routine Enhanced (4/3)- R/O pericardial thickening or pericardial effusion.
    리포트 | 9페이지 | 2,000원 | 등록일 2017.05.01
  • 쯔쯔가무시 case study
    150/90 mmHg Pulse rate : 88 회 /min Respiratory rate : 20 회 /min Body temperature : 38.8 ℃신체검진 Head and ... mass(-) Chest Clear breath sound without rale Regular heart beat without murmur신체검진 Abdomen Epigastric Td ... 열 Age / Sex : 69 yrs / Female 5 일 전부터 fever, headache 발생 응급실 내원 1 일전 증상 악화됨 전신에 rash 동반되어 local 방문함 R/
    리포트 | 15페이지 | 2,000원 | 등록일 2015.10.30
  • [Algorithm] Randomized selection / Deterministic selection 문제
    ..FILE:randomized/randomized/main.cpp#include #include #include #include #include using namespace std ... unsigned)time( NULL ) );n = rand()%max; // limiting random number rangereturn n;}int selection(vector& ... )+temp.size()){result= selection(temp_R, k-temp_L.size()-temp.size());}else if(k
    리포트 | 2,000원 | 등록일 2013.11.17
  • 분석화학실험 A+ 보고서 1. Use of analytical balance and glass wares
    Principle & Object4-1. ... Title: Use of analytical balance and glass wares2. ... James Holler, Stanley R. Crouch; 분석화학 강의; 사이플러스: 서울, ISBN9788992603867 2016, 34-3 맞추고 주변을 정리한다.
    리포트 | 11페이지 | 2,000원 | 등록일 2017.07.10
  • COPD(만성폐쇄성폐질환) case study 간호진단 3개, 간호과정 1개
    docId=2134886&cid=51000&categoryId=51000【Ⅱ】Case Study 보고서▣ 진단명: COPD (만성폐쇄성 폐질환)▣ 일반정보?성별/나이 : M/61? ... 활력징후 : BP: 130/70, T: 36.8℃, P:92 R: 25?의식상태 : Alert ?영양상태 : 당뇨식 밥 (식욕 좋음)? ... 50mmHg이하일 경우 심각한 저산소증80 - 100mmHgHCO₃-act32.132.6대사성 산증: HCO₃- 감소대사성 알칼리증: HCO₃- 증가21-27mmol/LHCO₃-std94.728.992.0
    리포트 | 9페이지 | 2,000원 | 등록일 2018.11.23
  • 전자전기컴퓨터설계실험1 5주차 Prelab PSPICE
    Supposed Data and Results of this Lab1) PreLab 1(1-1)? ... 옆에 그림에 보이는 V1, V2, TD, TR, TF, PW, PER 등을 정확하게 입력해주어야 한다. ... V1, V2는 전압의 최대, 최소값이며 TD는 time delay로 주기적인 파형에서 delay를 주어 관측에 용이하게 한다.
    리포트 | 12페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 전자전기컴퓨터설계실험1 5주차 Postla PSPICE
    >t → ∞V(ⓥ+)1 VV(U2-)0 VV(R±)1 Vi(C)-1 mA3) Measured(obtained) Data and Descriptions of Circuit 3.(1) ... Valueabout -4.7Vabout -0.62Vabout -4.7Vabout -4.7mA③ Vs(t) = 20kHz, 구형파 (-1V ~ 1V)→ V1=1V / V2=-1V / TD ... peakValueabout-1Vabout-1Vabout-0.4Vabout-1Vabout-0.4mA④ Vs(t) = 15kHZ, 삼각파 (-1V, 1.6V)→ V1=1.6V / V2=-1V / TD
    리포트 | 30페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • admission note 정리
    (-) 1YA stopsmoking (+) 1ⓟ x 33 yrsROS : general : F/C(-/-)HEENT : HA/dizz(-/-) sore throat(-) C/S/R( ... flat(+/+)Distention (-)td/RT(-/-)back CVAT(-/-)extremities pitting edema(-/-)Impression : #1 A-LC c EV ... conjunctiva not anemicsclera anictericneck : palpable mass(-)chest : CBS s raleRHB s murmurabd : soft &
    리포트 | 1페이지 | 1,000원 | 등록일 2014.12.05
  • pneumonia(폐렴) 응급실 케이스 입니다. 간호과정 4개입니다.
    flatnormoactiv BS , Td(-), RTd(-), CVA Td(-)Extrenites : grossly free, pitting edema의식상태 : drowsy정서 지표 ... 탈수증 환자, 메칠알코올 중독 환자,이 약 및 이 약에 포함된 성분에의 과민반응 환자요붕증 환자,과당뇨증 환자,고뇨산혈증 환자, 후각과민반응, 쇼크, 간/신질환 환자Humulin R인슐린 ... tonguenot palpable neck massnot engorged neck veinnot enlarged LNA/N/V/D/C : (-/-/-/-/-)Abdomen : soft &
    리포트 | 10페이지 | 2,500원 | 등록일 2017.02.01
  • 성인 게실염 case A+자료 (cecal diverticulitis) - 간호진단 5개(5개모두 간호과정 적용함)
    Bp): 110/70 mmHg□ 현병력㉠ 발병 당시부터 현재 입원하고 있는 병원에 오기 전까지 상황평소 특이질환 없는 환자로 2018년 4월 7일 18:00 부터 RLQ pain/td ... (Lipidem 20%, 5%Dextrose & Na-K 1000ml, N/S 100ml, Hartmanndex1000ml, Cafsol 10%)2)Dr.전경모 처방에 따라 위장 보호제를 ... (쑤시는양상),nausea발생하여 20:00본원 응급실 내원함.응급실 내원당시 V/S 은 BP : 130/80, P : 80, R : 24, T : 37.7℃ 측정되었으며,A/N/
    리포트 | 33페이지 | 3,000원 | 등록일 2018.07.05 | 수정일 2019.04.02
  • 회전운동과 관성모멘트 보고서
    그리고 그림 14.2의 장치에서 회전축에 대한 운동방정식은 다음과 같다.I_A alpha & = tau ##& = r T여기서I_A는 회전축의 관성모멘트,alpha는 각가속도,r은 ... 시간Ta(s)Td(s)Td(s)Tr(s)11.649.5813.211.0121.509.9113.0211.3931.579.7712.9511.2041.399.8813.0811.5651.509.5613.2011.32평균1.529.7413.0911.296표준오차0.04160.07330.04930.09226 ... 그리고 x축에 대한 관성모멘트는I = 1 over 4 M R^2이며 고리의 z축에 대한 관성모멘트는I = 1 over 2 M (R_1^2 + R_2^2 )이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2014.12.26 | 수정일 2016.06.20
  • 아동간호학실습 케이스입니다. 진단명 뇌수막염이고 간호과정 3개 있습니다.
    병원체나 숙주가 체내로에 접종 완료無D.P.T.1.생후 2,4,6개월에 3회 기초접종2.생후 15~18개월과 만 4~6세 때 각각 1회 추가접종3.만 11~12세때 Tdap 또는 Td ... nasal flaring (-)- sinus tenderness (-)입과 인후- sore throat (-)- toothache (-)- not dehydrated tongue and ... /dL▼4▼6Creatinine간 신장에서 형성되어 근육에 분배되어 고에너지 합성물로 변화▲진행성 근이영양증, 다발 성근염, 피부근염, 위축 성 cortical swelling->r/
    리포트 | 20페이지 | 3,000원 | 등록일 2019.05.20
  • 성인간호학) 후두암 종양 케이스스터디 간호진단3개
    중증도(900~1.499)TSF(mm&of std)1? ... 유(약물참조)(입원관련정보)(신체검진)V/SBT 36.8℃ R 22 P 82 BP 140/80기형?무 ?유통증?무 ?유영양상태신장 : 157cm 몸무게 : 42kg식욕 : ? ... 진단적 검사- CBC- Admission Battery- 혈액응고검사- Urinalysis- 영상검사&기타검사Ⅳ. 투약Ⅴ. 우선순위 간호진단Ⅵ.
    리포트 | 25페이지 | 3,000원 | 등록일 2016.11.21 | 수정일 2020.12.23
  • 논리회로실험 설계 보고서
    0);signal a_2 : std_logic_vector(7 downto 0);signal r_1 : std_logic;signal r_2 : std_logic;signal two_c_in ... 형은 해당 객체가 실을 수 있는 값의 영역 또는 집합을 지정하는데, 전형적으로 주어진 형과 관계가 있는 연산자(add,AND 등)의 집합이 있다.가정형VHDL은 그리 많지 않은 가정형 ... _1 : std_logic_vector(7 downto 0);signal two_c_in_2 : std_logic_vector(7 downto 0);-- signal의 초기 값을 모두
    리포트 | 24페이지 | 4,000원 | 등록일 2013.11.25 | 수정일 2013.11.28
  • ER 간경화 Liver cirrhosis case study
    응급검사1) 일반혈액검사(CBC & ESR)검사명정상치결과일시임상적 의의Ammonia12~60㎍/dL↑44018:42↑:간성혼수, 요소 cycle 효소결손, 간경변WBC4~10×10³ ... ↑101.818:29↑:고농도의 산소를 공급받는 대상자에서 볼 수 있음.HCO₃-act21~27mmol/L↓14.718:29↓:대사성 산증, 호흡성 알칼리증에 대한 보상HCO₃-std21 ... 사고 및 발생 당시부터 응급실 도착하기까지의 경위(도착일시 : 2016년 3월 18일 18시 12분)2월경 HCC, r/o hepatorenal syndrome 진단 받은 이후 술
    리포트 | 11페이지 | 2,000원 | 등록일 2017.11.07 | 수정일 2018.02.17
  • 아동간호학실습 케이스스터디 급성인두염
    참조)전염병백신종류및방법횟수출생1M2M4M6M12M15M18m24m36m만4세만6세만11세만12세국가필수예방접종결핵BCG(피내용)1B형간염HEPA B3디프테리아파상풍백일해DTaP5TD ... negative)Ward orientation given(낙상방지&side rail 올리도록 교육함.)Chest percussion teachingCold humidification ... 항생제 바꾸자 함→ INJ (아모크라), 50S holdFluid drop수 45→40cc/hr checkedINJ (세포탁심) 추가남세포탁심 skin test was done(R:
    리포트 | 16페이지 | 2,000원 | 등록일 2017.12.17
  • 성인간호학 중이염 수술 케이스(진단, 과정 2개)
    2호염기구로 히스타민, 백혈구유주인자, leukotrien, 프로스타글란딘 등 염증관련 물질을 생산하여, 즉시성 과민증에 반응(2) 방사선검사 및 특수검사항목검사일검사부위결과C/R ... 소화불량, 트림, 발진 둥Tacenol ER 650mg12세 이상의 소아 및 성인: 매 8시간마다 2정씩 복용.24시간 동안 6정을 초과하지 말 것.【 2 * 3 회 * 1 일 (TD ... ℃ 80 205) 없음Skin1) 피부 (욕창유무 및 부종, 피부탄력성, 반상출혈등의 상태)2) 손톱3) 모발과 두피1) 정상2) 정상3) 정상1) 정상2) 정상3) 정상Head &
    리포트 | 12페이지 | 4,000원 | 등록일 2018.10.20
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:51 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대