• 통큰쿠폰이벤트-통합
  • 통합검색(505)
  • 리포트(455)
  • 시험자료(37)
  • 방송통신대(7)
  • 자기소개서(4)
  • 이력서(1)
  • ppt테마(1)

"Shift Registers" 검색결과 181-200 / 505건

  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습5 [결과레포트]
    (Register)라고 불리는 플립플롭 그룹에 저장되는데, 데이터를 이 레지스터에 저장하기 위하여 수행하는 동작을 데이터 전송(Data transfer)라고 함.병렬 데이터 전송레지스터 ... 4bit Shift Register의 Simulation를 확인하면 올바른 결과가 나왔음을 확인할 수 있다.4bit Shift Register에서 우리가 원하는 바와 같이, CLK값이 ... SEQ 그림 \* ARABIC 22 4bit Shift Register TestBench_1그림 SEQ 그림 \* ARABIC 23 4bit Shift Register TestBench
    리포트 | 19페이지 | 1,000원 | 등록일 2017.10.19
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습5 [예비레포트]
    Register 의 Verilog code는 아래 그림 24과 같다.그림 SEQ 그림 \* ARABIC 24 4bit Shift Register code4bit Shift Register ... (Register)라고 불리는 플립플롭 그룹에 저장되는데, 데이터를 이 레지스터에 저장하기 위하여 수행하는 동작을 데이터 전송(Data transfer)라고 함.병렬 데이터 전송레지스터 ... SEQ 그림 \* ARABIC 28 4bit Shift Register Simulation 결과위의 D-FlipFlop과 4bit Shift Register의 Simulation를
    리포트 | 16페이지 | 1,000원 | 등록일 2017.10.19
  • 실험6 결과보고서
    Shift Register & Counter(결과보고서)*이번 실험은 앞 반에서 결과 값이 나오지 않는다고 하여 시뮬레이션으로 대체하였다.*실험 1. 시프트 레지스터A. ... REGISTER에서 Serial Data에서 5V 와 0V 를 번갈아 가면서 입력하였다. ... Shifting되는 시프트레지스터이다.실험 3 5진 링카운터Shift PulseL0L1L2L3L40OFFONONONON1ONOFFONONON2ONONOFFONON3ONONONOFFON4ONONONONOFF5OFFONONONON6ONOFFONONON7ONONOFFONON위
    리포트 | 9페이지 | 1,000원 | 등록일 2013.01.01
  • 실험 7. Shift Resistor 예비보고서
    실험 이론1) Shift Register시프트 레지스터는 저장된 데이터를 clock신호가 들어오면서 좌우로 이동시키는 장치인데, n개의 플립플롭을 연결함으로써 n비트의 데이터를 표시하는 ... 실험과정 및 예상결과실험1-6bit Shift Right Register위 회로처럼 J-K 플립플롭 6개와 2-input NAND Gate 1개로 구성된 회로를 74HC00 1개 74HC76 ... Shift Resistor1.
    리포트 | 7페이지 | 1,000원 | 등록일 2017.12.07
  • <컴퓨터공학 - 디지털공학개론> 1. 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오. 2. 4가지 기본형 레지스터의 분류에 속하는 IC들을 정리하시오.
    74178(4Bit Parallel Access Shift Registers)PIPO, SISO, PISO, SIPO이 가능한 4비트 레지스터동작상태병렬입력 : SHIFT=0, LOAD ... 레이스터의 종류 및 IC1) IC 7491 (8-Bit Shift Register)8개의 SR 주종형 플립플롭을 직렬로 연결하여 구성한 직렬입력-직렬출력 레지스터직렬 입력단자 : A ... =0이면, 모든 레지스터의 출력이 Clear클럭의 상승 에지마다 입력된 직렬 데이터가 쉬프트되어 저장됨3) IC 74165: Parallel Load 8-Bit Shift Register8개의
    방송통신대 | 5페이지 | 10,000원 | 등록일 2016.12.15
  • 서울시립대학교-전자전기컴퓨터설계실험2-제07주-Lab06-Post
    -bit Shift Register4-bit Shift Register Simulation ResultReset switch(rst)를 누르면 output value가 reset되어 ... RegisterPre-Lab과의 비교 결과, 4-bit Shift Register가 정상 작동함을 확인할 수 있었다.4-bit Shift Register & One Shot EnablePre-Lab과의 ... Register, 4-bit Shift Register & One Shot Enable을 programming하여 정상 동작을 확인하였다.For the better experiment4
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • [컴퓨터구조] 중간범위 간단 정리
    주소레지스터(MAR: Memory Address Register)다음에 읽기 동작이나 쓰기 동작을 수행할 기억장소의 주소를 저장하는 주소저장용 레지스터기억장치 버퍼 레지스터(MBR ... )다음에 실행할 명령어의 주소를 저장하는 레지스터명령어 레지스터(IR: Instruction Register)메모리로부터 읽어온 명령어를 수행하기 위하여 일시적으로 저장하는 레지스터기억장치 ... Shift / 나눗셈 좌측 Shift마스크(mask) 연산① 원하는 비트들을 선택적으로 clear비교(compare) 연산① 두 데이터를 비교하는 연산으로 대응되는 비트들의 값이 같으면
    리포트 | 8페이지 | 1,000원 | 등록일 2019.04.01
  • [논실]예비7, 200820126, 안효중, 4조
    register 예비보고서 >[1] 실험 목적Shift Register(시프트 레지스터)의 원리를 이해하고 그 동작을 실험을 통해 알아본다. ... 1개), LED 6개, 330Ω 저항 6개[4] 실험 절차① 6bit Shift Right Register→ 6bit의 shift register를 구성한다. 6bit 이므로 총 ... 그 후에 클럭을 인가하면서 점차 shift 되게 될 것이다.② 5bit Shift Right Register→ 5bit shift right register를 7496 IC를 이용해
    리포트 | 3페이지 | 1,000원 | 등록일 2012.02.29
  • 실험6 예비보고서
    Shift Register & Counter (예비)1. 실험 목적시프트 레지스터와 링 카운터의 동작 원리와 특성을 이해한다. ... 이러한 Shift Register를 이용하여 병렬-직렬변환기를 설계할 수 있을 것이고 T F/F를 이용하여 CLK에 따라 Toggle되어 카운터를 설계할 수 있을 것이다. ... 예비보고서(1) 시프트레지스터로 만들어진 링카운터의 구조와 동작원리에 대해 조사하라.위 회로도는 시프트레지스터를 JK 플립플롭으로 구현한 예이다.
    리포트 | 15페이지 | 1,000원 | 등록일 2013.01.01
  • 실험6.레지스터 구성
    관련 이론(Theoretical Background)자리이동 레지스터(Shift Register): 각 플립플롭의 출력이 옆에 있는 플립플롭의 입력에 차례로 연결되어 있어서 클럭펄스가 ... 들어올 때마다 저장된 이진 정보가 한자리씩 옆으로 이동되는 레지스터Shift resgister 의 종류Serial-in/serial-out, Parallel-in/serial-out ... 또한 레지스터에 어떤 값을 저장할 때 레지스터를 구성하는 모든 플립플롭들의 클럭단자가 공통으로 연결되어 있어서 각 플립플롭이 각자의 입력값을 동시에 받아들여 저장하는 경우 병렬로 로드
    리포트 | 10페이지 | 1,000원 | 등록일 2011.06.08
  • 아주대 논리회로실험 실험7 결과보고서
    Register 결과보고서 >[1] 실험 의의Shift Register(시프트 레지스터)의 원리를 이해하고 실험을 통해 그 동작을 알아본다. ... 또, 7476, 7496 IC의 특성을 이해하고 실험을 통해 확인해 본다.[2] 실험 수행 과정① 6bit Shift Right Register6비트 Shift right register를 ... 그래서 육안으로 관찰할 수 있는 속도의 주파수로 설정을 해준 뒤에 shifting 현상을 관찰할 수 있었다.② 5bit Shift Right Register7496 IC 한 개를 이용하여
    리포트 | 7페이지 | 1,000원 | 등록일 2012.02.29
  • shift, counter register 실험보고서
    Shift, Counter register란?Register란? ... - Flip-flop를 모아놓은 것(4bit Register = 4개의 FlipFlop를 모아놓은 것)그림의 경우 4bit Shift Register이며, 그림과 같이 clock이 ... Shift, Counter register란? ……, 5~7P6. 실험과정 ………………………………… 5P7. 소감 및 고찰 ……………………… 6~7P1.
    리포트 | 7페이지 | 2,000원 | 등록일 2011.11.21
  • qtSpim을 활용한 mips 시뮬레이션
    > PC’j지정한 주소로 점프(이동)한다8Shift 연산(X) x (2^Y) = Zsll비트를 왼쪽으로 Y값만큼 옮긴다. = 2의 Y승만큼 곱한다.9비교X < Y ? ... Y = Zsub레지스터 두 개를 sub 연산한다.12Memory ReadXlw메모리에 있는 값을 레지스터로 넣는다. ... 같으면 점프한다.5ALU addX + Y = Zadd입력받은 두 레지스터값을 ADD연산한다.6and 연산X&Y = Zand레지스터 두 개를 and 연산한다.7PC UpdatePC -
    리포트 | 8페이지 | 2,000원 | 등록일 2019.03.26
  • <컴퓨터 구조 및 설계>2장 명령어 : 컴퓨터 언어 요약정리
    )으로 컴파일 된 프로그램이 다른 컴퓨터에서 작동 할 수 있습니다.2.6 Logical Operations논리연산 명령어는 한 워드의 비트 그룹을 추출하고 삽입하는 데 유용합니다.Shift ... 네개의 변수 합 구하기디자인 설계 원칙1 -> 간단하게 하기 위해서는 규칙적인 것이 좋다.Regularity는 실행을 단순화 한다, 단순함은 적은가격에 높은 성능을 낼 수 있다.Register ... 왼쪽, 즉 최상위 바이트 주소를 워드 주소로 사용함)-> sw $s1, 24($s2) : memory[$s2+24]=$s1 (store) (GiB : 2^30, TiB: 2^40)Register
    리포트 | 12페이지 | 1,000원 | 등록일 2019.07.29 | 수정일 2019.10.12
  • [Ayeun] 마이크로프로세서응용 3주차 결과보고서 마프(ATmega128 I/O PORT,LED과제코드)
    DDRx(Data Direction Register) 레지스터로 데이터의 방향을 결정한다.(0=input, 1=output)2. x는 포트 A~G를 뜻한다.3. ... 무한반복문이기 때문에 무한으로 LED가 교차점등 하게 된다.2.2 실습예제#2 : LED Shift 점등#include //ATmega128 칩을 사용하는 헤더파일이다. ... #PORTx 레지스터1. DDR 레지스터를 이용하여 Output 선언된 포트를 제어하는 레지스터이다.2.
    리포트 | 11페이지 | 1,000원 | 등록일 2018.12.22
  • 쉬프트 레지스터와 카운터
    실험목표- 레지스터(Register)의 용도와 쉬프트(Shift) 레지스터의 입력과 저장 형태에 맞게 설계할 수 있고 이를 응용할 수 있다.- 쉬프트 카운터, 링(Ring) 카운터와 ... 관련이론- 레지스터시프트 레지스터(shift register)는 Hyperlink "https://ko.wikipedia.org/wiki/%EB%94%94%EC%A7%80%ED%84% ... SN7494 4 – Bit Shift Resister의 병렬입력 레지스터 기능 수행 여부를 확인한다.- 병렬입력 레지스터 SN7494 4 – Bit Shift Resister 내부
    리포트 | 11페이지 | 1,000원 | 등록일 2016.04.12
  • 카운터 레지스터 메모리 프로그램가능소자의 구조
    억세스 속도(Access time)가 빠를 것.Shift Register의 기능1. ... Shift-left (왼쪽으로 직렬 데이타 이동)표 7-1 레지스터의 기능표모드 제어s1 s0 레지스터 동작0 0 불 변0 1 우측 시프트1 0 좌측 시프트1 1 병렬 로드7.3 메모리의 ... Shift-right (오른쪽으로 직렬 데이타 이동)7.
    리포트 | 25페이지 | 6,000원 | 등록일 2017.12.31
  • 이동통신 공학인코더디코더 메틀랩
    j번째 Shift Register 값으로 선언해준다.P(j)가 1이 아닌 경우, Shift Register의 (j-1)번째 값을 j번째 Shift Register 값으로 선언해준 ... j번째 Shift Register 값으로 선언해준다.P(j)가 1이 아닌 경우, Shift Register의 (j-1)번째 값을00110011111011010110101111101 ... 후, feedback 값을 1번째 Shift Register 값에 선언한다.
    리포트 | 11페이지 | 2,000원 | 등록일 2016.04.20
  • 논리회로실험 예비 7
    실험 이론▣ Shift Register시프트 레지스터는 일련의 연결된 플립플롭의 결합으로, 잠정적인 데이터의 저장 능력을 갖춘다. ... 실험 목적- Shift Register의 동작 원리 및 작동 결과를 알아본다.- 74HC76과 74HC96 칩을 통해 실제 Shift Register를 이해한다.2. ... 74HC96의 시프트 레지스터 동작 확인74HC96은 내부에 S-R F/F과 여러 Basic gate로 이뤄진 쉬프트 레지스터이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2016.09.24 | 수정일 2021.10.31
  • [Flowrian] Add-Shift 방식 곱셈기의 Verilog 설계 및 시뮬레이션 검증
    본 설계는 Add-Shift 방식 곱셈기의 구조에 따라 레지스터 전송수준에서 Verilog 언어로 설계한다. ... 논리회로도 구조에는 승수와 피승수를 저장하고 시프트 하기 위한 2개의 8비트 시프트 레지스터, 곱셈 결과를 저장하는 8비트 레지스터, 8비트 덧셈기, 2입력 멀티플렉서, 곱셈 종료를 ... 검사하는 AllZero 모듈 및 Moore 타입의 유한상태머신으로 구성된다.각 모듈들에 대하여 동작 원리를 설명하였고, 레지스터 전송 수준에서 설계된 Verilog 소스를 공개하였으며
    리포트 | 23페이지 | 2,500원 | 등록일 2011.09.03
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:07 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대