• 통큰쿠폰이벤트-통합
  • 통합검색(236)
  • 리포트(231)
  • 시험자료(5)

"bcd counter" 검색결과 181-200 / 236건

  • 카운터와 문자발생기
    문자 발생기 회로도 분석74LS90 BCD counter74LS47 Decoder수 치 디스플레이예상 결과물예상 결과물 (문자발생기)사용 부품일 정모든 조원작품 완성보고서 :결과 보고서
    리포트 | 10페이지 | 5,000원 | 등록일 2008.04.07 | 수정일 2024.08.01
  • 쿼터스 VHDL을 이용한 디지털 시계 설계 결과
    설정부분library ieee; --분리한 각 숫자를 7-segment 배열에 표시하는데 사용한다. use ieee.std_logic_1164.all; entity dec7 is port (bcd ... ten_counter is signal cnt : std_logic_vector (0 to 3)); begin upcount : process(clk)12진 카운터 Source시계 ... _1164.all; use ieee.std_logic_unsigned.all; entity ten_counter is port (clk,rst : in std_logic; -- 입력을
    리포트 | 37페이지 | 2,000원 | 등록일 2009.05.22
  • 디지털논리회로실험 - 카운터
    .■ 비동기식 카운터(asynchronous counter) 혹은 리플카운터(ripple counter)◎ 하나의 플립플롭의 출력이 다른 플립플롭의 입력으로 사용 된다.◎ 동시에 이루어질 ... 카운터(synchronous counter)★ 동기식 카운터와 비동기식 카운터의 차이점비동기식 카운터에는 플립플롭을 여러 단 사용할 경우 입력펄스에 대해 동시에 모든 상태가 변하지 ... 반전된다.(1) 비동기식 10진 카운터◎ 0에서 9까지 10개의 상태를 카운트하는것으로 10진수를 2진 코드로 표현하는 데는 적어도 4비트가 필요하므로 10진 카운터의 대표적인 것은 BCD카운터이다
    리포트 | 3페이지 | 1,000원 | 등록일 2007.11.21
  • [논리회로실험] DAC and ADC Conv (예비)
    방식을 설명하고 ring counter와 storage resister를 사용하여 전 회로를 설계 ... 많은 D/A변환기는 4비트로 구성된 코드로 10진수 각 자리를 표현하는 BCD 입력코드를 사용한다. ... 위 그림에 나오는 D/A변환기는 최대출력값이 15V이다.▶ BCD Input Code대부분의 D/A Converter는 2진 입력 코드를 사용하였다.
    리포트 | 19페이지 | 1,500원 | 등록일 2009.03.20
  • 동기식, 비동기식, 시프트 레지스터 카운터의 정의 및 특성
    카운터의 정의계수기(counter, 카운터)란 클럭펄스를 세어서 수치를 처리하기 위한 논리 회로 (디지털 회로)이다. ... 비동기식 BCD 카운터☞ 비동기식 회로의 불안정성그림 10-5의 비동기식 BCD 카운터에서 Q3Q2Q1Q0=1001→1010→0000으로 변하는 시간이 매우 짧다. ... 이 카운터를 이용해 0부터 9까지 세는 BCD 카운터를 설계하면 그림 10-5와 같다.
    리포트 | 7페이지 | 2,000원 | 등록일 2009.05.28
  • 랜덤숫자선택기 실험 예비보고서, 결과보고서
    전달받은 BINARY COUNTER를 10진수로 변환해서 7-segmant에서 0~9까지 10개의 숫자중에 하나를 랜덤으로 표시한다.관련 이론n비트 이진카운터(n-bit binary counter ... 전해콘덴서트랜지스터피복선노드 및 회로 구성 시회로기판회로 구성인두기회로에 납땜 시 사용흡입기잘못된 땜질 복구 시니퍼피복,점퍼선 자를 때 사용피복선노드 및 회로 구성 시준비사항 및 부품IC45184비트 BCD카운터가 ... - 470 Ω - C1 - TR2의 경로로 충전이되고, 콘덴서 C2는 +C1 - TR2 - WJQWL - +VCC - R2 의 경로로 방전한다.
    리포트 | 18페이지 | 2,000원 | 등록일 2009.01.12
  • 디코더와 인코더
    to 7-Segment decoder) - TTL 7490(decade counter)- 수치 표시기(Numeric Display with Socket) - LTS 542(or 다른 ... D₁D₂D₃0*************0010110001- 그림 7-6의 BCD to Decimal Decoder 회로를 결선하고 입력 A,B,C,D의 변화에 따른 출력 D를 측정하여 ... common Anode 형)- 저항 680[Ω] (7개) 1/4W4.
    리포트 | 5페이지 | 1,000원 | 등록일 2007.01.11
  • [논리회로실험] DAC and ADC Conv (결과)
    Decade BCD2) Single pulse clock으로 single pulse를 가하여 D/A converter의 출력을 측정한다.3) 주파수 발생기를 이용하여 계단 파형(1KHz ... D/A converter comparison method1) 1㎑ pulse를 single pulse로 바꾸고 (스위치 box를 사용) counter를 0으로 reset한다. ... 측정한다.3) 핀 2의 가변 저항 전압을 -2.5V로 하고 counter를 0으로 reset시킨 후 op-amp의 출력이 변할 때까지 single pulse를 인가한다.
    리포트 | 5페이지 | 1,500원 | 등록일 2009.03.20
  • [전자실험]N체분계수기와 10진계수기
    다음 예는 10진수를 BCD코드로 표시한 예이다.6 = 01107.9 = 0111.100135 = 0011 0101(2)10진 계수기(counter)모드-5 계수기위 그림의 3개의 ... 종속 배열(cascaded arrangement)은 디지털 전압계, 주파수계수기(frequency counter) 등에 폭넓게 사용된다. 여기서 십진 카운트가 필요하다. ... 관련지식(1)10진수의 2진수화(8421) 코드8421코드는 2진화 10진(BCD; binary-coded decimal) 코드의 형식으로서 10진수 한 자리를 2진수의 4비트로 표시한
    리포트 | 3페이지 | 2,000원 | 등록일 2005.10.25
  • [디지털논리회로] dash Watch (STOP WATCH) VHDL로 설계하기[쿼터스]
    -Digit BCD counter3. ... 진경시, 김경만, 박효열 공저, 기전연구사.2007#첨부a_top -> matc -> mux -> a state -> SD -> counter-> a_seg_drvTOP 블록 설계 ... 1164.all;use ieee.std_logic_unsigned.all;entity a_top d_logic_vector(6 downto 0);-------------------- counter
    리포트 | 30페이지 | 2,500원 | 등록일 2009.05.09
  • [디지털]디지털논리회로실험 11,13,14장 예비 레포트
    이것을 이용하여 카운터 중 가장 간단한 비동기식 업 카운터(Up counter)의 동작을 알아보기로 하자.비동기식 업 카운터는 입력 펄스의 수를 세어 올라가는 것으로 [그림 13-1 ... 플립플롭의 클럭 펄스(CP)입력단자에 클럭 펄스를 입력시키는 것이 아니라 바로 앞의 플립플롭의 출력을 입력으로 하는 것이다.비동기식 카운터를 직렬 카운터 또는 리플 카운터 (ripple counter ... 있다.입력펄스DCBA000001000수하는 비동기식 2진 카운터를 비동기식 2진 다운 카운터라고 한다비동기식 업 카운터와 마찬가지로 카운터 중 가장 간단한 비동기식 다운 카운터(down counter
    리포트 | 18페이지 | 1,500원 | 등록일 2006.05.11
  • 복호기와 부호기
    가지고 입력 코드 워드에서 출력 코드 워드는 1대 1로 mapping된다. 1대 1 mapping에서 각 입력 코드 워드는 서로 다른 출력 코드 워드를 생성한다.Electronic counter는 ... 예를 들어 BCD(8421) 코드에서 4-비트 조합 0000에서 1001까지는 10진 숫자 0-9를 나타내지만, 1010에서 1111까지는 이용되지 않는다. ... (non code word)가장 널리 이용되는 출력 코드는 m-비트 1-out-of-m 코드인데, 여기서 한 비트는 반드시 active이다.
    리포트 | 22페이지 | 1,000원 | 등록일 2010.12.20
  • [시프트레지스터] Shift Register와 Ring Counter
    다음 예는 10진수를 BCD코드로 표시한 예이다.6=01107.9 = 0111.100135 = 0011 010110진 계수기(counter)모드-5 계수기위 그림의 3개의 플립플롭으로 ... 종속 배열(cascaded arrangement)은 디지털 전압계, 주파수계수기(frequency counter) 등에 폭넓게 사용된다. 여기서 십진 카운트가 필요하다. ... [그림 1] 레지스터의 데이터 이동링카운터링카운터(ring counter)는 자리 이동 레지스터를 사용하여 임의의 시간에 자리 이동 레지스터 중에서 한 개의 플립플롭만 논리 1이 되고
    리포트 | 7페이지 | 1,000원 | 등록일 2005.04.15
  • 디지털시계제작 프로젝트
    동기식 카운터는 모든 플립플롭이 공통 클록 펄스를 입력 받으므로 동시에 변화한다.1-1 비동기식 2진 카운터비동기식 2진 카운터(Binary counter)는 J-K 플립플롭 또는 ... 여기서 비동기식 2진 카운터를 리플 카운터(Ripple counter)라고도 한다.(1) 비동기식 2진 카운터그림 8-10은 4개의 J-K 플립플롭을 이용하여 설계한 비동기식 4비트 ... 있다. 4개의 출력은 문자로 표시되어 있는데, Q에는 BCD에서 각 비트의 2진 가중치를 나타내는 첨자가 함께 표시되어 있다.
    리포트 | 15페이지 | 5,000원 | 등록일 2008.09.19
  • Quartus2, 베릴로그를 이용한 캐릭터LCD 구동 보고서
    이에 대한 기능 설명은 표 2-3와 같다.RSR/W동 작 구 분00IR에 쓰기 동작01Busy 플래그(D7) 읽기 동작과Address counter(D6 ~D0) 읽기 동작10DR에 ... 쓴다앞에서 C.G.RAM이나 D.D.RAM에 데이터 쓰기 명령을 계속 사용하여 BCD_를 계속해서 쓴다.ABCD_(G) D.D.RAM 데이터 쓰기▶ 동작 : 마지막으로 ‘F'(0x46 ... 초기화에 의해서 D.D.RAM이 선택되었 으므로 커서는 오른쪽으로 하나씩 시프트된다.▶ 명령 :RSR/WD7D6D5D4D3D2D1D01001000001▶ 디스플레이 :A_(F) BCD_를
    리포트 | 24페이지 | 2,000원 | 등록일 2008.12.29 | 수정일 2015.06.25
  • 캐릭터lcd 구동 프로젝트 최종 보고서
    이에 대한 기능 설명은 표 2-3와 같다.RSR/W동 작 구 분00IR에 쓰기 동작01Busy 플래그(D7) 읽기 동작과Address counter(D6 ~D0) 읽기 동작10DR에 ... 쓴다앞에서 C.G.RAM이나 D.D.RAM에 데이터 쓰기 명령을 계속 사용하여 BCD_를 계속해서 쓴다.ABCD_(G) D.D.RAM 데이터 쓰기▶ 동작 : 마지막으로 ‘F'(0x46 ... 초기화에 의해서 D.D.RAM이 선택되었 으므로 커서는 오른쪽으로 하나씩 시프트된다.▶ 명령 :RSR/WD7D6D5D4D3D2D1D01001000001▶ 디스플레이 :A_(F) BCD_를
    리포트 | 24페이지 | 2,000원 | 등록일 2009.01.11 | 수정일 2015.06.25
  • 엔코더
    고속에서 는 측정 주기가 너무 짧음, 해상도를 높이기 위하여 클록 펄스의 주파수를 높일 경우, 저속시 m 값이 증가=>비트수가 큰 클록 counter 필요실제 구현시 나눗셈이 필요, ... -Absolute형 로터리 엔코더회전축(Shaft)의 0°지점을 기준으로 360°를 일정한 비율로 분할하고, 그 분할된 각도마다 인식 가능한 전 기적인 디지털 코드(BCD ,BINARY ... 측정시간 : T=mTc[s] (m:엔코더 펄스와 펄스 사이의 클록펄스 수,Tc:계수를 위한 클록 펄스의 주기)c. 각속도 : Wm=[rad/s]d.
    리포트 | 9페이지 | 2,000원 | 등록일 2010.05.28
  • 8254의 핀 구성 및 기능
    (binary count / binary-coded decimal count)카운트 값의 저장 형식을 이진수로 할 것인지 이진화 십진수로 할 것인지를 지정한다.BCD 비트가 나타내는 ... .(1) CS (counter select)CS1, CS0 두 비트로 읽기 또는 쓰기가 이루어지는 카운터를 선택한다.CS1, CS0 두 비트의 조합이 나타내는 값의 의미는 다음과 같다 ... 있다.비트 위치: b7 b6 b5 b4 b3 b2 b1 b0비트 내용: CS1 CS0 RW1 RW0 MD2 MD1 MD0 BCD제어 명령어의 각 비트는 다음과 같은 의미를 갖고 있다
    리포트 | 12페이지 | 2,000원 | 등록일 2008.05.04 | 수정일 2019.04.12
  • [컴퓨터]계수기 & 레지스터에 대해서
    다음 예는 10진수를 BCD코드로 표시한 예이다.6=01107.9 = 0111.100135 = 0011 010110진 계수기(counter)모드-5 계수기위 그림의 3개의 플립플롭으로 ... counter)라고도 부른다. ... 2진 카운터와 2진수카운터는 비동기 카운터(asynchronous counter)와 동기 카운터(synchronous counter)로 분류되며, 비동기 카운터를 리플 카운터(ripple
    리포트 | 15페이지 | 2,000원 | 등록일 2005.11.26
  • 2008 동아대 공작기계 포트폴리오 (포폴) 총정리(개정판교과서)
    ; shear type chip):그림과 같이 전단의 slip 간격이 유동형 chip의 경우보다 큰 것으로서, 공구가 진행하면 재료 abcd가 a'bcd'에 위치한 다음 bc에 따라 ... 카운터 싱킹(counter sinking)접시머리 나사의 머리부를 묻히게 하기 위하여 원뿔 자리를 내는 가공이다.사. ... 카운터 보링(counter boring)작은 나사 머리, 볼트의 머리를 공작물에 묻히게 하기 위한 턱이 있는 구멍 뚫기의 가공이다.3) 드릴링 머신의 종류가.
    리포트 | 54페이지 | 4,000원 | 등록일 2009.01.07
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:03 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대