• 통큰쿠폰이벤트-통합
  • 통합검색(10,580)
  • 리포트(8,353)
  • 자기소개서(1,452)
  • 시험자료(509)
  • 방송통신대(118)
  • 이력서(40)
  • ppt테마(37)
  • 서식(36)
  • 논문(28)
  • 노하우(7)

"c++ 프로젝트" 검색결과 181-200 / 10,580건

  • 건설경영 CONSTRUCTION MANAGEMENT 2단원 요약집
    managemente):프로젝트 통합관리+범위관리#integration management + scope management(2)기본관리(basic management):프로젝트 ... 4대 기본관리#시간(time), 비용(cost), 품질(quality), 위험(risk) ... 프로젝트 관리 지식 영역의 이해2.1 프로젝트 관리 지식 영역 체계PMI의 PMBOK에 따르면, 프로젝트 관리를 위한 지식 체계를 다음과 같이 구분하고 있다.(1)통합관리(integration
    시험자료 | 7페이지 | 1,000원 | 등록일 2023.11.15
  • 회사 소개서 샘플
    병원 전략 경영 병원 운영 경영 병원 필수 경영 THE GROWTH co., Ltd. ... 의료 경영컨설팅 자문 리더십 코칭 MSO 설립 및 운영 메디컬타워 개발 병원시스템경영 메디컬 플랫폼 개발행복한 병원만들기 프로젝트 꿈과 성공을 돕기 위한 성장과정 입니다 컨설팅 병 ... 기타관리 70 기업부설연구소 71 리스크관리 72 경영진단 73 시장조사 경영관리 프로젝트(6개월)SPC Management ( 사업확장 , 현명한 절세 , 자산증식 ) 1.
    서식 | 16페이지 | 12,000원 | 등록일 2024.05.14
  • 서강대학교 21년도 디지털논리회로실험 프로젝트 3단계 보고서 (A+자료)
    디지털논리회로실험 프로젝트 보고서 – 가위바위보 게임(3단계)1. ... 물음표 패턴 디자인이번 프로젝트에서 DotMatrix는 up-rolling과 flash_twice의 2가지 동작을 수행해야 한다. ... Q1*Q0*round_now* + Q1**Q0* = *Start + *Q0*up_end + Q1*Q0*round_now*flash_end + *Q0* + Q1*Q0** + Q1*Q0
    리포트 | 26페이지 | 3,000원 | 등록일 2022.09.18
  • 진동학 - 회전하는 편심질량 분석
    프로젝트 내용* 로터리 엔w*t);U_prime=zeros(2,1);U_prime(1)=-c/M*u(1)-k/M*u(2)+f/M;U_prime(2)=u(1);endfunction U_prime ... k1 c2 k2F=m*r*w^2*sin(w*t);v=zeros(4,1);v(1)=(c2*u(3)-(c1+c2)*u(1)+k2*u(4)-(k1+k2)*u(2)+F)/m1;v(2)=u ... *M(e,s)+1i.*w.*C(e,s)+K(e,s);endendn=n+1;w=w+1;X_OVER_F(n)=abs(Z(2,2)/(Z(1,1).
    리포트 | 21페이지 | 2,500원 | 등록일 2020.12.31
  • 2019년 하반기 LG유플러스 우수 자기소개서
    LG유플러스의 영업사원이 되어 가입자 수를 늘리고 ARPU를 증가시켜 LG유플러스의 매출 상승에 기여하겠습니다.저는 B2C 영업을 위한 소통능력과 꼼꼼함을 키웠습니다. ... 무선사업과 유선 사업에서 확실한 입지를 다진 LG유플러스에서 B2C 영업으로 매장을 관리하며 더 많은 고객께 데이터의 향유와 편리함을 제공하고 싶습니다.특히 무선서비스에 대한 영업을 ... 저는 조별 프로젝트에서 활발히 참여하지 않던 멕시코 친구들과 소통하여 참여시킨 경험이 있습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2024.06.06
  • Genzyme Center(젠자임센터)에 대한 시스템빌딩 조사 및 분석
    M+I 결합 M+I 결합EM M 고정거울 + 회전거울 팬 코일 유닛 , 태양 전지 패널 P.C. ... 구조 Water MI 바닥부EMI S EM M 고정거울 + 회전거울 팬 코일 유닛 , 태양 전지 패널 {M) P.C. ... 상호작용하는 분위기를 만들어줌 .Behnisch 지속 가능한 설계를 하자고 제안 Genzyme 경영자 Henry Termeer 녹색 건물 개념이 확장되도록 지원 설계 과정 전반에 걸쳐 프로젝트
    리포트 | 36페이지 | 6,000원 | 등록일 2021.08.18
  • 게임회사 합격 서버 프로그래머의 자기소개서
    및 결과게임2 국내 런칭 및 서비스2015.03 ~ 2016.11 회사32015.03 ~ 2016.11 (게임3 프로젝트)사용언어 및 개발환경C++, C#, Visual Studio2008 ... 국내 런칭 및 서비스2016.04 ~ 2017.01 회사22016.04 ~ 2017.01 (게임3 프로젝트)사용언어 및 개발환경C++, Visual Studio2008, MySQL ... 서버 개발, 컨텐츠 개발 및 게임 런칭구글 결제 시스템 연동구글 플러스 로그인 연동게스트 로그인 기능 개발- 회사3 (2015.03 - 2015.11)프로젝트 서버 컨텐츠 개발 및
    자기소개서 | 3페이지 | 3,000원 | 등록일 2022.11.13 | 수정일 2022.11.29
  • 아두이노를 활용한 태양광 자동 추적기 제작
    개인학습을 한 후 공대 1호관에서 미니프로젝트 회로설계 및 코딩을 하였습니다. ... 정량적 활동 성과 (활동성과를 구체적으로, 정량적 수치로 기입)1) 아두이노 스터디 및 간단한 프로젝트 진행본격적으로 태양광 추적기를 제작하기에 앞서 스터디를 통하여 아두이노에 대하여 ... int ldrrt = 0; // 오른쪽 위 LDR의 핀 번호const int ldrlt = 1; // 완쪽 위 LDR의 핀 번호const int ldrrd = 2; // 오른쪽 아래
    리포트 | 11페이지 | 4,000원 | 등록일 2024.05.17 | 수정일 2024.05.27
  • 건축설계 프로세스에 따른 단계별 상황연출
    건축물의 규모검토 , 현장조사 , 설계지침 등 건축설계 발주에 필요하여 건축주가 사전에 요구하는 설계 업무 ■ 기획설계■ 기획설계가설 계 DAY + 3 프로젝트 수주소개 기존 작업했던 ... A C C 생각하는 point ■ 설계단계3 억 6 억 7 억 5 억 7 천 A B C D Dumping Dumping ■ 설계단계 – 시공사 선택시공사 선정 – 건축가 - 건축주 ... Report 6 건축설계 진행 프로세스 건축실 무Process 설계 진행 순서에 따른 상황가설 계 DAY + 1 가설계PD (Preliminary Design) 기획 설계 ↓ ( 가설계
    리포트 | 23페이지 | 4,000원 | 등록일 2019.12.11 | 수정일 2020.11.15
  • 아치막구조 사례(Anaheim Regional Transportation Intermodal Center)
    - - 미국 철강 건축 연구소 - 구조용 강철 기술을 사용한 엔지니어링 및 건축 혁신적인 디자인 -ASCE Orange 카운티 지부 - 올해의 프로젝트 + 올해의 구조 엔지니어링 ... /design/buildings/the-arc-designed-by-asymptote-architecture_o - https :// www.youtube.com/watch? ... CAFÉ 2.EXHIBITION 3.BOOKSTORE 4.STAIR 5.POOL SECTION 5출처 - ArchDaily - https :// www.architectmagazine.com
    ppt테마 | 18페이지 | 2,000원 | 등록일 2024.05.29
  • (교육심리학) 행동주의 학습이론, 인지주의 학습이론, 사회학습이론, 인본주의 학습이론, 구성주의 학습이론에 대해 설명
    C 씨 역시도 지인처럼 저녁 7시가 넘어 백화점을 방문하여 식품코너에서 1+1+1 행사를 하는 식품들을 저렴하게 구매하게 된다. ... 지인이 화장품의 경우, 매번 정품을 70% 이상 할인해야 구매하고, 또, 1+1 행사가 있을 때 주로 구매하고, 옷을 사는 경우, 이월상품을 주로 구매하는 것을 보고 C 씨는 이를 ... 그래서 A 씨는 죽기 살기로 특급 프로젝트를 제대로 완수해내려고 노력에 노력을 거듭하고, 결국 이 프로젝트를 제대로 이뤄내어 보너스를 받게 되어 흡족해하고 자기 자신을 자랑스러워하게
    방송통신대 | 8페이지 | 3,000원 | 등록일 2021.08.20
  • C언어/C++ 테트리스 고급 코드 (아이템 사용 등 기능 추가)
    (대학교 과제, 프로젝트, 공부용)1000줄 정도의 C/C++ 테트리스 코드이며, 추가/삭제를 통해 유동적으로 변경 가능합니다.주석, 설명도 자세히 적어놨습니다. ... C/C++ 테트리스 고급 소스입니다.
    리포트 | 4,500원 | 등록일 2020.03.15
  • 소니코리아 FAE 최종 합격 자기소개서(자소서)
    C++을 이용해 제안할 곱셈기 및 타 근사곱셈기들의 코드를 작성했습니다. ... 이상이 없는걸 확인한 후, 에러 지표를 비교하기 위해 C++로 에러 도출 코드를 작성하고 이를 이용하여 결과 비교 데이터를 도출했습니다. ... +로 여러 곱셈기들을 프로그래밍한 후 Linux를 통해 하드웨어 관련 데이터를 도출하는 과정에서 C++와 Linux 환경에 대해 이해했습니다.이러한 언어, 문화, 전공적 이해와 경험이
    자기소개서 | 7페이지 | 3,000원 | 등록일 2023.02.17
  • 문화예술교육개론의 첫 번째 레포트는 문화예술교육 현장에서 각각 다양하게 이루어지는 교육 프로그램 사례들을 조사하고 정리하여 레포트 3장 분량으로 총 2개의 사례 소개를 간추려서 제출하는 것입니다.
    +쓰담 프로젝트 전시회 및 발표회’에 참여교육기간 및 횟수▪ 교육 시행 기간 : 2021.3.15 ~ 2021.12.27▪ 교육 운영 기간(횟수) : 주 3회 이상 (교과 20시간 ... /seedschool/intro/index.dohttps://school.cbe.go.kr/cheongan-e/MABBG/교육목적 및 목표▪ 정기적인 문화예술교육을 통한 학생들의 창의력 ... 소양 신장▪ 청안초등학교 학생들에게 자신이 살아가고 있는 고장의 소중함과 아름다움, 그리고 지역 공동체의 가치 학습▪ 사진기 촬영법 및 편집 프로그램 사용법을 배우고 익혀 ‘쓰̇+담̇
    리포트 | 7페이지 | 3,000원 | 등록일 2023.01.04
  • SWOT분석의 개념 및 SWOT분석 기업 사례연구
    queryText=znPublisher,%EB%8B%A8%EA%B5%AD%EB%8C%80%ED%95%99%EA%B5%90+%EA%B2%BD%EC%98%81%EB%8C%80%ED%95% ... queryText=znPublisher,%EC%A4%91%EC%95%99%EB%8C%80%ED%95%99%EA%B5%90+%EB%8C%80%ED%95%99%EC%9B%90&searchGubun ... 프로젝트 구성 요소를 수립함으로서, 잘 구성된 사업 계획을 추진할 수 있고, 목표를 잘 정의하여, 프로젝트 목표 지점 좀 더 효과적으로 접근할 수 있습니다.4.
    리포트 | 3페이지 | 2,500원 | 등록일 2024.07.09
  • 영상처리 - Image Quantization & Nearest Neighbor (Only C++ Source Code)
    영상처리 프로젝트Image Quantization & Nearest Neighbor 알고리즘입니다.raw 파일을 입력받아 output도 raw로 출력됩니다.OpenCV 없이 C++
    리포트 | 3,000원 | 등록일 2021.04.06
  • 수학자 폰노이만 해밀턴 만델브로트 생애와 업적
    만델브로트 Gyeongsang National University 만델브로트 집합 Zn+1=Zn2+C 1. ... 이 프로젝트를 통해 미국은 인류최초의 핵무기 개발에 성공01 . ... 폰노이만 Gyeongsang National University 생애 유대인 부자 집안 아버지의 열렬한 자녀 교육 ( 수학 + 외국어 + 여러 학문 등 ) 8 세에 파소리 에반젤리쿠스
    리포트 | 25페이지 | 2,500원 | 등록일 2022.11.30
  • 연세대학교 기초디지털실험 6주차 결과레포트
    코드를 보면 1+2+8으로 led[0], led[1], led[3]가 high가 되도록 하였다.Figure 14 Code of Control LED2-3) RGB LED3번째 실험은 ... +8에 맞춰 그의 led에 해당하는 0번, 1번, 3번 led에 불이 켜진 것을 확인하였다. ... top.xsa 파일을 찾아 이를 기반으로 프로젝트를 생성한다.
    리포트 | 10페이지 | 5,000원 | 등록일 2022.10.05 | 수정일 2022.10.15
  • 6시그마개론 프로젝트 온라인 쇼핑 지출 줄이기
    no=3097 id=pr16_list PageNo=1 schFlag=0프로젝트 선정 배경 Define프로젝트 정의 Define Big-Y 만족스러운 소비 생활 Small-Y 온라인 ... https://www.consumerinsight.co.kr/voc_view.aspx? ... 좋아하는 유튜버 프로모션 마켓 온라인 쇼핑 지출 배송비 안 들기 위해 추가 구매 신상품Measure X-Y Matrix 구분 욕구성 (2) 필요성 (3) 효율성 (5) 합 순위 1 +
    리포트 | 22페이지 | 8,000원 | 등록일 2021.06.10
  • 아주대학교 기구설계 프로젝트4[A+]
    phi#a`sin theta+b`sin psi=D _{y} +(d+e)sin phi편의상d+e=k라 하면a`cos theta+b`cos psi=D _{x} +k`cos phi#a` ... {x} +k`cos phi-a`cos theta) ^{2} +(D _{y} +k`sin phi-a sintheta)^2식을 정리한 후P`cos phi+Q`sin phi+R=0 꼴로 ... }} =b, {bar{CG}} =d, {bar{DG}} =e,` {bar{EF}} =f,` {bar{GH}} =g)a`cos theta+b`cos psi=D _{x} +(d+e)cos
    리포트 | 21페이지 | 1,000원 | 등록일 2021.03.08 | 수정일 2021.03.10
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:06 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대