• 통큰쿠폰이벤트-통합
  • 통합검색(634)
  • 리포트(588)
  • 시험자료(18)
  • 자기소개서(12)
  • 논문(11)
  • 이력서(3)
  • 방송통신대(1)
  • ppt테마(1)

"schematic" 검색결과 181-200 / 634건

  • 서강대학교 디지털논리회로실험 - 실험 2. Digital Logic Gates 결과 보고서
    실험 2-BXilinx ISE에서 schematic으로 다음과 같이 설계하였다.퀴즈 (2-A) 회로퀴즈 (2-B) 회로설계한 것을 시뮬레이션 한 결과 다음과 같이 나왔다.퀴즈 (2- ... 실험 1-C실험에서 사용한 TTL소자의 경우 입력이 없으면 HIGH로 작동하므로 PSW의 연결을 제거하면 해당 입력은 HIGH이 될 것으로 예상된다.? ... 실험 1-C입력출력psw0psw1psw0제거psw1제거psw0, psw1 둘다 제거005.02V5.02V5.03V015.03V5.02V5.03V105.02V5.03V5.03V115.03V5.03V5.03V우리가
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • UGI 체액부족
    출혈 ① 심박수와 혈압의 기립성 변화 ② 빈맥 ③ 앙와위의 저혈압 급성기에 변화 없어 출혈정도 평가가 되지 못함 72 시간 지나서 떨어지므로 해석에 유의 출혈이 명백하지 않음 → Hemates ... 활력징후 결과 혈압 90/50mmHg, 맥박 120 회 / 분 , 호흡 22 회 / 분 , 체온 36.8 도 였고 , 대상자의 신체는 평소 키 175.3cm, 체중은 68kg 였으나 ... 간호과정 간호력 성명 : 이 OO 성별 : M 나이 : 50 진단명 : Upper GI bleeding 신장 175.3 cm 체중 68.2 - 66kg 혈압 : 90/50 mmHg
    리포트 | 21페이지 | 3,200원 | 등록일 2022.12.19
  • 아동 급성 중이염 CASE STUDY A+ 문헌고찰, 간호진단, 간호과정 포함
    그 외에는 정상적인 모습을 보이고 있다.(5) 임상검사 및 진단적 검사 결과① Hemat 상승된 것으로 보아 환아의 빈혈증상을 의심할 수 있고 Blood chemistry 상 AST ... 질병정의중이염은 이관, 중이강(middle ear cavitiy), 유앙돌기(mastoid process)의 염증이다. ... 예평소 소변 습관 : 정상배뇨양상의 변화: 없음Urine color : yellowcatherter : 무【선택영역】대처평소 아동의 행동은 어떤 편입니까?
    리포트 | 24페이지 | 4,000원 | 등록일 2021.04.22 | 수정일 2024.03.20
  • 성인 심근경색 케이스
    mix iv start.PO ) NTG 1T S.LAspirin 3T , Plavix 4TX-ray ) chest AP (P)O₂ 4L nasal (14 : 50 ~ 15 : 20 ... ) chest painv/s ) 161/90-107-23-37.1℃SPO2) 99% , EKG13/10/2314 : 52Fluid ) 5% D/W 500ml + isoket 50mg ... 세균성 상기도 감염, 호르몬 질환감소 : 호지킨 병, 화상, 외상, 쿠싱질환, 초기급성방사성 증후군Monocyte7.70 ~ 9증가 : 감염 시, Collagen disease, Hemat-ologic
    리포트 | 14페이지 | 2,000원 | 등록일 2020.06.01 | 수정일 2020.06.09
  • BIM의 정의
    상세한 건축 모델(detailed building model)을 만들기 전 개념적인 모델(schematic model)에서 미리 검토가 가능하게 되면, 건물이 요구기능과 지속가능성에
    리포트 | 5페이지 | 3,000원 | 등록일 2021.09.13
  • [전자전기컴퓨터설계실험1] [전전설1] PSpice를 이용한 전기회로의 시뮬레이션 예비레포트
    설명하시오.Sinusoidal voltage source: Sine 파를 인가한다Pulse voltage source: Pulse 파를 인가한다.Pulse voltage source ... 참고문헌 Hyperlink "http://denethor.wlu.ca/PSpice/pspice_tutorial.html" http://denethor.wlu.ca/PSpice/pspice_tutorial.html대학물리학 ... 창이 열리면 캐패시터를 통과하는 전압 값을 선택한다.전류의 그래프를 표현하기 위해서는 Plot – Add plot to Window로 들어가서 I (C1)을 선택한다.II.
    리포트 | 13페이지 | 1,500원 | 등록일 2019.11.16
  • 요관결석 CASE STUDY, 간호진단 간호과정 2개, 구체적 기술, 비뇨기계 병동 케이스
    Normal cardiac chamber size with LV wall thickness2. ... AV: thickening & calcification, minimal ARMV, TV: normal valvular shape & function5. ... x100³/㎕Hb 12.0~16.0 g/dlHCT 37~47%저하▼- 빈혈, 간장애, 출혈등- 심계항진, 현기증, 호흡곤란, 기립성 저혈압, 피부창백, 두통Hemoglobin 10.9▼Hemat사명날짜검사소견임상적
    리포트 | 18페이지 | 3,000원 | 등록일 2020.06.05
  • 논리회로실험 병렬 가산기 설계
    이 테스트벤치는 자료흐름모델링에서 쓰인 코드로, 위의 테스트벤치와 Carry의 값을 C에 저장하느냐, sum(8)에 저장하는가의 약간의 차이 밖에 가지지 않고 같은 코드이다. ... 파형을 2진수와 10진수 두 가지 방법으로 나타내었다. 2진수만으로는 C_in의 값의 유무를 파악하기 어려움을 겪어 10진수로도 나타낸 것이다. sum의 값을 살펴보면 A+B의 값이 ... 논리회로설계 실험 예비보고서 #3실험 3. 병렬 가산기 설계1.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 일본어 전문(傳聞)표지의 모달리티 연구: 일본어 통·번역 수업과의 연계 방안 중심
    한국외국어교육학회 오선주
    논문 | 19페이지 | 5,400원 | 등록일 2023.04.05 | 수정일 2023.04.06
  • 韓中 동소역순어 단일화 양상의 도식화 분석 - 한자어 유의성의 변별 방법론 탐색
    대한중국학회 김정필
    논문 | 21페이지 | 5,700원 | 등록일 2023.04.03
  • VHDL실습 MUX 및 Decoder
    1 multiplexer로 작동할 수 있도록 코드를 짠다.다음과정들은 schematic으로 디자인한 과정과 동일하다.schematic과 vhdl로 설계한 것이 RTL viewer를 ... 위의 설명대로 S가 0일 땐 A가 출력 Y로 나오고 반대로 S가 1일땐 B가 출력 Y로 나온다.Block diagram/schematic file을 열어 1bit 2? ... 1 demultiplexer로 작동할 수 있도록 코드를 짠다.다음과정들은 schematic으로 디자인한 과정과 동일하다.RTL viewer를 통해 다음과 같이 설계되었음을 확인한다.S가
    리포트 | 18페이지 | 2,000원 | 등록일 2019.04.20
  • 논리회로실험 4주차 예비보고서
    예 비 보 고 서4주차부울대수의 간소화(1) : schematic 이용분반 : 0성명 : 000학번 : 2010000실험일: 0000.00.001. ... 목적- 부울대수의 기본성질과 정리를 이해한다.- 부울대수식을 이용한 간소화 방법을 이해한다.- 부울대수를 symbol의 형태로 표현하는 방법을 이해한다.2. ... AND 와 OR 연산 모두에서 교환법칙이 성립한다.2) 결합법칙A · (B · C) = (A · B) · C(A + B) + C = A + (B + C)결합법칙이라 함은 세 개 이상의
    리포트 | 3페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 기계공학전문 학사 졸업 논문 (미세유체분야를 위한 다중화 운영 시스템 _ 운영 조건과 적용 가능성)
    System schematic geometric relation servo motor and air injectorFigure 6에서 servo motor의 회전 속도가수식입니다.omega라고 ... 실제 실험을 통한 결과를 바탕으로 시스템의 안정성과 효율성을 ess: combined channel changing and liquid injectionFigure 5는 액체 이동 ... 실험3.1 C 그리고 Figure 8.
    논문 | 5페이지 | 5,000원 | 등록일 2024.04.26
  • 성균관대 논리회로 설계실험 VHDL을 이용한 4bit Full adder 입니다.
    c_out을 schematic에 표현하시오. ... (스캔 첨부 가능)1.Full_adder schematicmost bit에서의 c_out값은 ‘0’을 가진다. ... 1)4bit Full_adder의 schematic을 그리시오. a=”0101” , b=”1001”, c_in = ‘0’ 에 대해서 각각의 bit에서의 s와 most bit 에서의
    리포트 | 2페이지 | 1,000원 | 등록일 2017.05.23
  • 2016년도 중앙대학교 전자전기공학부 3학년 2학기 ASIC설계 과제2 파형확인과 MyLVS 활용
    그래서 그 문제를 해결하기 위해 schematic의 W값과 layout의 W값을 일치시켜 주었다. ... (2) discrepancies가 생긴 이유 분석 및 해결책 기술. discrepancies가 생긴 이유는 schematic의 W값과 layout의 W값이 일치하지 않기 때문이었다 ... 따라서 그래프가 아래로 갈수록 충전과 방전에 걸리는 시간이 길어지게 되는 걸 볼 수 있다.[2] Part II(1) discrepancies가 있는 경우의 MyLVS 윈도우의 screen-hard-capture한
    리포트 | 4페이지 | 2,000원 | 등록일 2017.06.25 | 수정일 2017.06.26
  • [고급자료]논문 요약 & 논문에 대한 비평.3 (마이크로나노기계공학응용/아주대학교)
    또한 몇몇 hydrogel은 가시광선 영역에서 투과율 99%를 가지고 있어 광학 정보를 전송하는데 큰 장점을 가진다.● Surface capacitive systemFig1) A schematic ... 또한 길이를 늘림에 따라 저항이 늘어나지만 손가락과 박판의 거리에 따른 전류의 선형성은 잃지 않는다.Fig2) A schematic diagram of an ionic touch stripFig3 ... of an ionic touch strip이 논문에서는 터치 패널은 surface capacitive 구조를 이용하며 이용한 hydrogel(LiCl, PAAm)을 이용한다.
    리포트 | 6페이지 | 3,000원 | 등록일 2019.01.01 | 수정일 2020.09.24
  • 성균관대 논리회로 설계실험 VHDL을 이용한 8bit decimal Counter
    성균관대 논리회로 설계실험 VHDL을 이용한 8bit decimal Counter입니다.당시 한태희 교수님이셨고, 두번째 과제였습니다.8bit decimal Counter schematic
    리포트 | 1,000원 | 등록일 2017.05.23
  • 결과보고서 #2- 반가산기, 전가산기 설계
    만약 설계하려는 회로가 간단하다면 schematic design을 사용하는 것이 쉬울 것 같다. ... 더불어 직관적으로 그림으로 수행하는 schematic design 또한 어렵지 않게 할 수 있었다. ... 이번 실험에서는 지금까지와는 다르게 schematic design과 구조적 모델링을 함께 수행하였다.
    리포트 | 6페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • [연세대학교 물리학과 물리학실험(A-1)] 10번 실험 결과레포트 (연세대학교 물리학과 전공필수 실험과목)
    Here’s the entire schematic that we used for this experiment.< Schematic of the Adjustable Three-terminal ... pulses rather than a steady current flow. ... By combining them properly, we will be able to construct several types of the voltage regulator, like
    리포트 | 12페이지 | 5,000원 | 등록일 2019.07.23
  • [연세대학교 물리학과 물리학실험(A-1)] 7번 실험 결과레포트 (연세대학교 물리학과 전공필수 실험과목)
    Here’s the basic schemator and the DC Power Supply at 100kHz >< Input and Output Voltage Curves on the ... screen. ... According to the data, there are some fluctuations in the calculation, but still we concluded the slew
    리포트 | 13페이지 | 5,000원 | 등록일 2019.07.23
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:45 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대