• 통큰쿠폰이벤트-통합
  • 통합검색(354)
  • 리포트(339)
  • 방송통신대(7)
  • 시험자료(6)
  • 이력서(1)
  • ppt테마(1)

"segment clock" 검색결과 181-200 / 354건

  • d-day 계산기 설계
    해준다. left_sw, right_sw 둘 중 하나의 입력만 활성화 되어야 shifting 동작을 하며 그렇지 않은 경우는 아무런 동작을 하지 않는다.clock_divider : ... 다른 기능은 cal_dec symbol 과 모두 같으며 calc 이라는 입력 값이 있어 만일 사용자가 d-day 계산 모드를 한다면 7-segment 가 모두 꺼지게 만들었다.KEY ... 받아 clock 가 0 일 때 black 출력을 1 로 만들어 준다.led_dec : 년, 월, 일 중에 년을 출력하기 위하여 필요하다.
    리포트 | 17페이지 | 2,500원 | 등록일 2011.06.24 | 수정일 2015.07.19
  • 디지털 도어락 및 디지털 시계 및 알람(digital door lock, digital clock) -vhdl 논리설계회로
    (0) ... and clock = '1' thenif cnt = X"270f" thencnt ... s0에서는 3가지 디스플레이가 일정한 값이 입력된다. 7segment에는 -------가 입력되며 Dot matrix에는 Menu글씨가 LCD에는 문이 잠긴 상태를 알려준다.
    리포트 | 48페이지 | 3,000원 | 등록일 2011.06.03
  • Xilinx를 이용한 7-Segment 제어
    .out_led(second));// 기준 클럭으로 clk 대신 FirstExam의 시스템 LED 제어용 포트를 받는// second 레지스터를 이용한다.always @ (posedge ... second or negedge n_Reset)beginif (! ... [7:0] SevenSeg;// 이전에 만들었던 클럭 분주 모듈인 FirstExam을 불러온다.FirstExam FirstExam(.n_rst(n_Reset), .clk(clk),
    리포트 | 14페이지 | 1,000원 | 등록일 2010.04.10
  • 카이스트 전자공학실험2 실험3 Digital Design Using Microcontroller (1st week) 결과보고서
    (system clock / 12)를 입력클록으로 선택한 경우 system clock이 11.0592MHz로 이루어지므로 타이머로 0.9216MHz의 Clock이 들어오게 된다.이 ... and 1/10 second.After 10 seconds, 2 left segments represent the ?. ... The timer becomes “0.00” (cleared) when TIMER0 button is pushed.Time is represented through three 7 segment
    리포트 | 15페이지 | 2,500원 | 등록일 2011.11.06
  • 디지털 시계 설계 발표자료
    설계 과정2) 회로pld_slave.bdf*3. 설계 과정2) 회로clock.bdf*3. 설계 과정3) BFM검증(rtl)*3. 설계 과정3) BFM검증(Timing)*3. ... 설계 과정모듈6진 카운터 2개 10진 카운터 2개 12진 카운터 1개 Mode 선택기 1개 Tri segment decoder 클럭 생성기*3. ... 설계 과정4) Full stripe검증(rtl)*3. 설계 과정4) Full stripe검증(timing)*4. 작동시범1.
    리포트 | 15페이지 | 1,000원 | 등록일 2012.05.29
  • 전기전자 응용실험_8주차 예비 보고서
    clock. ... That is, it uses the internal clock./* STEP.C */#pragma model(kc) /* 80C19he count value */do{idle(); ... segment disable */}/* turn right */void right(unsigned char count){unsigned char temp;flag=0; /* clear
    리포트 | 4페이지 | 1,000원 | 등록일 2011.07.09
  • 디지털회로응용설계(자동차 과속 경보장치 설계)
    7-segment 드라이버인 7448 디바이스의 동작원리를 익히고 이를 자동차 과속 경보장치에 적용하는 방법을 익힌다.? ... 74175 래치의 동작원리를 이해하고 자동차 과속 경보장치에 래치를 적용하는 방법을 익히는데 7-segment 표시기를 이용하여 사용자에게 현재의 자동차 속도를 알려주고 정해진 속도를 ... 7-segment는 자동차의 속도 표시를 [COM]핀을 접지에 연결하여 사용하면 된다.결과 값입력신호주파수IC핀 이름 및 번호숫자abcdefg7642191010[Hz]U281.61.60.11.61.60.11.6212
    리포트 | 22페이지 | 3,800원 | 등록일 2014.01.03 | 수정일 2014.11.05
  • 카이스트 전자공학실험2 실험1 Combinational Logic Design, Flip-Flop, and Counter 결과보고서
    ) Implement synchronous counter & asynchronous counter.4) Display the counter value on 7 segment LED.3 ... Problem Statement1) Implement Magnitude Comparator2) Implement BCD - to - 7 segment display code converter3 ... (level-sensitive) On the other hand, the output of the flip-flop changes only when clock changes.
    리포트 | 15페이지 | 2,500원 | 등록일 2011.11.06
  • VHDL를 이용한 디지털 시계
    선언architecture Behavioral of digital_clock issignal s10_clk, s01_clk, m10_clk, m01_clk, h10_clk, h01 ... 이 때 시간을 나타내는 segment 2개, 분을 나타내는 segment 2개, 초를 나타내는 segment 2개를 이용하여 제작해야 된다.DIGIT110자리의 시간 단위DIGIT21자리의 ... (s10_clk, s01_clk, m10_clk, m01_clk, h01_clk)로 선언해주어야 한다.- 각 DIGIT, 출력 값, segment 값을 대신하여 쓰이는 내부 signal
    리포트 | 19페이지 | 1,000원 | 등록일 2010.05.27
  • [Lab#4]7-Segment LED Display 실습
    ): 회로구성 후 7-segment display의 구동 여부를 동시에 확인하는 입력 핀(Active-Low)▷Ripple Blanking Input(): 10clock input ... 임의 숫자 표시에 대하여 디지털카메라로 구동 사진을 촬영하여 첨부한다.- 7490 IC를 이용하여 100Hz clock을 입력하여 0~9까지를 100Hz로 보여준다. ... , 74LS90 Decade counter 실습[1]학습 목표:a)Anode Common and Cathode Common 7-segment LED display의 segment
    리포트 | 17페이지 | 1,000원 | 등록일 2011.06.02
  • Verilog HDL을 이용한 PIG Game 설계
    출력 seg1,seg2,segsum,segdice를 표시하는 7 segment로 표시되는데 각각은 7segment에 대하여 7개의 비트 a,b,c,d,e, f,g를 가진다. ... 보드에서 제공하는 clock 중 50MHz를 사용하기로 했다.1/100초를 사용하기 위해 아래와 같은 식을 이용하여클럭이 500000번 돌아가면 한 번 클럭이 1이 되게 하여 1/ ... 이에 대한 Verilog 코드는 아래와 같다.// clock divider1if(RESET==1)Clk_div = 20'd0;elseClk_div = Clk_div+20'd1;if(
    리포트 | 21페이지 | 3,000원 | 등록일 2011.12.24
  • c-sec 간호과정, 교육자료
    방광을 밑에 있는 자궁 하부 분절(lower uterine segment)로부터 분리하기 위해 둔탁한 분리 혹은 예리한 분리 를 시행한다. ... 양끝 모서리의 출혈은 심각한 문제를 야기할 수 있기 때문에 양끝 모서리를 비연속적인 봉합을 먼저 시행한 수 연속적인 running-lock suture를 시행하기도 한다. ... 수술과정(Operation) - 교육자료1) 수술명 : repeat c-sec2) 피부준비 (skin preparation) : 베타딘과 알콜솜으로 복부와 치모선 부위까지 소독한다
    리포트 | 7페이지 | 3,000원 | 등록일 2015.07.05 | 수정일 2018.09.07
  • 생명과학 레포트 미래환경과 바이오테크놀러지
    Spigot of the type B aciniform gland (Ac-B) comprises cylindrical base segment and a long terminalsegment ... C-F: The surface of the cribellum is covered by hundreds of elongate spigots whichproducing numerous ... cribellate silk fibrils.
    리포트 | 9페이지 | 3,000원 | 등록일 2015.06.03
  • VHDL을 이용한 신호등 설계 프로젝트
    통해서 들어오는 입력값을 누적시킨다Clock divider1kHz의 메인클럭 500회를 1회로 인식하게 한다.Counter내부 clock을 센다.FND divider8개의 7segment의 ... 시스템 전체 구성도- 입력으로 전체 clock의 기본이 되는 master clock, reset switch, 방향입력 switch 가 있으며,출력으로 신호등(신호조절)과, 7세그먼트 ... (iSwitch)로 구성되어 있으며, 이 signal이 일련의 Process(파란색)를 통과하여 신호등과 7segment의 출력(빨간색)을 나타내는 구성을 바탕으로 하여 신호의 전달과정을
    리포트 | 16페이지 | 1,500원 | 등록일 2010.12.16
  • BCD To 7Segment Decoder 설계
    본론4.1 설계 내용IAR프로그램을 이용하여 7segment의 가산 및 감산, 홀수 및 짝수 카운터를 설계한다. ... (출력_1, 입력_0) 각 Port의 초기값을 지정한 함수를 포함.(4) flash : 사용자가 배열의 형태로 그 값을 미리 지정함.(5) DispSeg : main 프로그램에서 segment에 ... ※소스파일#include #include void Init_Sw(void);void LDelay(unsigned int Dly);void DispSeg(unsigned char num
    리포트 | 8페이지 | 2,000원 | 등록일 2013.05.28
  • 위암case study
    만성백혈병, 다혈구혈증, 심장병, 만성췌장염,↓:감염, 폐렴, 알레르기, 급성백혈병, 암화학요법중, 대형 수술 후MPV8.07.4-10.4fl(평균 혈소판 용적)↑:거대혈소판 증가N-segmented62.8 ... (변못볼 시)oral하제변 보게 하기 위함복부팽만감, 복통, 설사로 인한 전해질 불균형, 구역, 구토, 식욕부진Lacto Well(락토웰 장용캡슐)250mgoral장내균총 이상(항생물질 ... -내시경 위점막하 박리절제술(gastric endoscopic submucosal dissection : ESD):EMR보다 진보된 방법으로 내시경을 사용하여 위점막이나 점막하 병변을
    리포트 | 15페이지 | 2,500원 | 등록일 2016.03.26
  • 결과보고서 실험 7. 복호기와 부호기(Decoder & Encoder)
    바꾸어 나타낸다.5) 7 segment 표시기를 갖는 BCD 카운터7-segment 표시기를 갖는 BCD 카운터7-segment 표시를 하기 위해서는 우선 NBCD 입력을 10진수로 ... 입력한 NAND gate의 6번 핀 출력파형CLKAB→ 실험은 IC 7476과 NAND gate IC 7420으로 제작한 2단 2진 카운터의 결과 값을 보는 것인데, 일정한 주기의 clock을 ... 고치고 그것을 7-segment 코드로 변환하여야 한다.
    리포트 | 8페이지 | 3,000원 | 등록일 2012.03.11
  • vhdl 디지털 탁상 시계 설계
    (무선 전송기능 추가)유인물발표자료부품목록● 만능기판 1, pole 4 set, 커넥터 3, dual pin header 3,linear socket, led 5, 7-segment ... LED 스탠드 조명은 LED 전구로 대체 구현한다.다. 7-segment 표시에 관련해 디코더 직접회로가 필요할 수도 있다.라. ... range 0 to 4;BEGIN-- can clock codePROCESS(clock_2Mhz)BEGINIF( clock_2Mhz'event and clock_2Mhz='1') THENIF
    리포트 | 34페이지 | 3,000원 | 등록일 2010.12.30
  • 전시회 관람자에게 팜플릿을 주기 전에 시스템에 팜플릿을 통과시키면 전시회 관람자의 수가 7-segment에 출력되는 시스템
    CKA(clock A)에 연결한다.- 강제로 7-segment 출력을 모두 0으로 (두 counter의 출력을 모두 0으로) 만들려면 두 counter의 출력을 모두 0으로 만들어 ... A, B, C, D를 받아서 그 입력의 숫자 모양을 common-cathode 7-segment에 표시하는데 필요한 출력을 내는 BCD to 7-segment decoder이다. 74LS47은 ... 2- BCD counter와 BCD to 7-segment decoder 1의 부분을 참조하여 설계한다.4-2-6 7-segment 2- 7-segment 1 부분과 같이 74LS48에
    리포트 | 24페이지 | 1,500원 | 등록일 2010.06.25
  • 실험 8. Counter(예비)
    3번 핀이 GND에 연결된 후부터 동작● 7-Segment 표시기를 갖는 BCD Counter (네 번째 실험)① 회로를 구성한다.② 7447을 이용하여 0~9까지의 2진 값을 7-segment에서 ... 다다르면 출력은 다시 0부터 시작위의 그림은 앞의 결과에 영향을 받는 카운터로 사이에 전달 시간이 있으므로 비동기식 카운터라 함전송지연을 없애기 위해서 모든 Flip-Flop들은 같은 clock펄스에 ... 주요 이론실험에서는 Flip-Flop을 이용한 Counter와 BCD Counter를 사용Flip-Flop을 이용하는 경우 최하위 비트부터 채워지는 2진 counter를 기본 바탕으로
    리포트 | 2페이지 | 1,000원 | 등록일 2012.09.14 | 수정일 2014.01.01
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:14 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대